KR20170105883A - 플라즈마 안정화 방법 및 이를 이용한 증착 방법 - Google Patents

플라즈마 안정화 방법 및 이를 이용한 증착 방법 Download PDF

Info

Publication number
KR20170105883A
KR20170105883A KR1020160029105A KR20160029105A KR20170105883A KR 20170105883 A KR20170105883 A KR 20170105883A KR 1020160029105 A KR1020160029105 A KR 1020160029105A KR 20160029105 A KR20160029105 A KR 20160029105A KR 20170105883 A KR20170105883 A KR 20170105883A
Authority
KR
South Korea
Prior art keywords
plasma
gas
deposition
substrate
during
Prior art date
Application number
KR1020160029105A
Other languages
English (en)
Other versions
KR102637922B1 (ko
Inventor
강동석
장요철
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Priority to KR1020160029105A priority Critical patent/KR102637922B1/ko
Priority to US15/451,273 priority patent/US9972490B2/en
Priority to TW106107502A priority patent/TWI656561B/zh
Priority to CN201710144112.8A priority patent/CN107177833B/zh
Publication of KR20170105883A publication Critical patent/KR20170105883A/ko
Application granted granted Critical
Publication of KR102637922B1 publication Critical patent/KR102637922B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Analytical Chemistry (AREA)

Abstract

플라즈마 안정화 방법 및 이를 이용한 증착 방법은, (a) 소스 기체 공급 단계, (b) 퍼지 기체 공급 단계, (c) 반응 기체 공급 단계, 및 (d) 플라즈마 공급 단계로 이루어지며, 상기 퍼지 기체 및 반응 기체는 상기 (a) 단계부터 (d)단계 동안 반응기 내로 지속적으로 공급되며 상기 과정은 반응기에 기판이 없는 상태에서 진행된다.

Description

플라즈마 안정화 방법 및 이를 이용한 증착 방법{Plasma stabilization method and deposition method using the same}
본 발명은 플라즈마 안정화 방법 및 이를 이용한 증착 방법에 관한 것으로, 더욱 구체적으로 반응공간 내 플라즈마의 안정적 형성을 위한 플라즈마 안정화 방법 및 이를 이용한 증착 방법에 관한 것이다.
최근 들어 반도체 회로 선폭이 20 nm대로 줄어듦에 따라 실리콘 기판 상에서의 초박막 증착을 위한 요건이 더욱 까다로워지고 있고, 특히 저온 증착 공정에 대한 연구 개발이 활발해지고 있다. 이를 위해 저온에서 초박막 증착이 가능한 플라즈마 원자층 증착 공정(PEALD; Plasma Enhanced Atomic Layer Deposition)이 개발되어 왔다. 플라즈마 원자층 증착 공정에서 플라즈마는 반응기체를 활성화시켜 소스기체와의 반응을 촉진함으로써 저온에서 박막이 형성될 수 있도록 한다.
본 발명은 플라즈마 공정의 최초 기판 상에서의 안정적인 플라즈마 구현을 위한 방법을 제공한다. 보다 구체적으로, 기판 로트(lot)의 변경 또는 반응기의 인-시츄 클리닝(in-situ cleaning)과 같이 기판 증착이 이루어지지 않는 장비의 유휴시간(idle time) 이후에, 반응기 내에서 신규 로트의 최초 기판 처리 시 플라즈마가 불안정하고 그에 따라 공정 초기 박막 증착이 비정상적으로 이루어지는 문제를 해결하기 위한 방법을 해결하고자 한다.
본 발명의 기술적 사상에 실시예들의 일 측면에 따르면, 플라즈마 안정화 방법은 (a) 소스 기체 공급 단계, (b) 퍼지 기체 공급 단계, (c) 반응 기체 공급 단계, 및 (d) 플라즈마 공급 단계로 이루어지며, 상기 퍼지 기체 및 반응 기체는 상기 (a) 단계부터 (d)단계 동안 반응기 내로 지속적으로(continuously) 공급되며 상기 과정은 반응기에 기판이 없는 상태에서 진행될 수 있다.
상기 플라즈마 안정화 방법의 일 예에 따르면, 상기 (a) 내지 (d) 단계를 하나의 사이클로 하여 복수의 사이클들을 수행하되, 각 사이클마다 공급되는 플라즈마 파워의 크기가 다를 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 각 사이클마다 공급되는 플라즈마 파워의 크기는, 기판의 증착 공정 시 공급되는 플라즈마 파워의 10내지 100%의 크기 범위에서 순차적으로 증가될 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 플라즈마 파워의 크기는 단계적으로 증가될 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 복수의 사이클들은 사전-점화 사이클(pre-ignition cycle), 중간-점화 사이클(mid-ignition cycle), 또는 후속-점화 사이클(post-ignition cycle)에 속할 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 사전-점화 사이클, 상기 중간-점화 사이클, 및 상기 후속-점화 사이클 동안 공급되는 플라즈마 파워는, 각각, 상기 증착 공정 시 공급되는 플라즈마 파워의 1/3 크기, 1/2 크기, 및 동등한 크기일 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 사전-점화 사이클, 상기 중간-점화 사이클, 및 상기 후속-점화 사이클은 각각 3회 내지 5회 반복될 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 (a) 내지 (d) 단계를 하나의 사이클로 하여 복수의 사이클들을 수행하되, 각 사이클마다 공급되는 플라즈마 파워의 크기는 동일하고 기판의 증착 공정 시 공급되는 플라즈마 파워의 크기보다 작을 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 소스 기체, 상기 퍼지 기체, 및 상기 반응 기체의 유속은 기판의 증착 공정 시 공급되는 소스 기체, 퍼지 기체, 및 반응 기체의 유속과 동일할 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 단계 (a) 내지 단계 (d)에 의해 반응기 내벽에 박막이 형성될 수 있다.
상기 플라즈마 안정화 방법의 다른 예에 따르면, 상기 반응 기체 및 상기 퍼지 기체는 동일한 종류의 반응성 퍼지 기체이고, 상기 반응성 퍼지 기체는, 플라즈마로 활성화되지 않으면 상기 소스 기체와 화학반응을 하지 않고 플라즈마로 활성화되면 상기 소스 기체와 화학반응을 할 수 있다.
본 발명의 기술적 사상에 실시예들의 다른 측면에 따르면, 증착 방법은 전술한 예들에 따른 플라즈마 안정화 방법을 수행하는 단계, 반응기 내로 기판을 로딩하는 단계, 및 상기 기판에 대한 증착을 수행하는 단계를 포함할 수 있다..
본 발명의 기술적 사상에 실시예들의 또 다른 측면에 따르면, 플라즈마 안정화 방법은 퍼지 기체, 반응 기체, 및 소스 기체를 공급하는 제1 단계, 상기 제1 단계 이후에, 상기 소스 기체의 공급을 중단하는 제2 단계, 상기 제2 단계 이후에, 플라즈마를 인가하는 제3 단계, 상기 제3 단계 이후에, 상기 플라즈마의 인가를 중단하는 제4 단계, 및 상기 제4 단계 이후에, 반응기 내로 기판을 로딩하고 상기 기판에 대한 증착을 수행하는 제5 단계를 포함할 수 있다.
상기 플라즈마 안정화 방법의 일 예에 따르면, 상기 제2 단계와 상기 제3 단계 동안 그리고 상기 제4 단계와 상기 제5 단계 동안 상기 퍼지 기체 및 반응 기체가 공급될 수 있다.
본 발명의 기술적 사상에 실시예들의 또 다른 측면에 따르면, 증착 방법은 복수의 제1 기판들에 대한 제1 증착 단계, 및 복수의 제2 기판들에 대한 제2 증착 단계를 포함하고, 상기 제1 증착 단계와 상기 제2 증착 단계 사이에 반응기 내에서 플라즈마 안정화 단계가 수행되며, 상기 플라즈마 안정화 단계 동안 반응기에 퍼지 기체 및 반응 기체가 공급되고, 소스 기체의 공급 및 플라즈마의 공급이 교대로 수행될 수 있다.
상기 증착 방법의 일 예에 따르면, 상기 플라즈마 안정화 단계는, 기판이 캐리어에서 이송 장치로 이송되는 제1 기간, 상기 기판이 상기 이송 장치에서 로드락 장치로 이송되는 제2 기간, 상기 기판이 상기 로드락 장치에서 이송 챔버로 이송되는 제3 기간, 및 상기 이송 챔버에서 반응 챔버로 이송되는 제4 기간 중 적어도 하나의 기간 동안 수행될 수 있다.
상기 증착 방법의 다른 예에 따르면, 상기 플라즈마 안정화 단계는 상기 제1 기판들 중 최종 기판에 대한 증착과 상기 제2 기판들 중 최초 기판에 대한 증착 사이의 유휴 시간(idle time) 동안 수행될 수 있다.
상기 증착 방법의 다른 예에 따르면, 상기 복수의 제1 기판들은 제1 로트(lot)에 포함되고, 상기 복수의 제2 기판들은 상기 제1 로트와 다른 제2 로트(lot)에 포함될 수 있다.
상기 증착 방법의 다른 예에 따르면, 상기 플라즈마 안정화 단계는 반응 챔버에 대한 인-시츄 클리닝 이후에 수행될 수 있다.
상기 증착 방법의 다른 예에 따르면, 상기 제1 증착 단계 및 상기 제2 증착 단계 중 적어도 하나는, 제1 기체를 공급하는 단계, 퍼지 기체를 이용하여 잔류하는 제1 기체를 제거하는 단계, 제2 기체 및 플라즈마를 공급하는 단계, 및 퍼지 기체를 이용하여 잔류하는 제2 기체를 제거하는 단계를 포함하고, 상기 제1 기체는 상기 플라즈마 안정화 단계 동안 공급된 상기 소스 기체를 포함하고, 상기 제2 기체는 상기 플라즈마 안정화 단계 동안 공급된 상기 반응 기체를 포함할 수 있다.
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 방법 및 이를 이용한 증착 방법을 개략적으로 나타낸 흐름도이다.
도 2는 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 방법 및 이를 이용한 증착 방법을 개략적으로 나타낸 흐름도이다.
도 3은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 단계를 개략적으로 나타낸 타이밍도이다.
도 4는 오랜 유휴 시간 이후 공정을 진행하여 첫 번째 기판에 대한 증착이 이루어질 때, 플라즈마가 불안정하게 발생하는 모습을 나타낸다
도 5는 본 발명에 따른 플라즈마 안정화 단계를 진행한 후 기판을 로딩하여 통상의 증착 단계를 진행할 때 반응공간에 공급된 플라즈마 파워가 안정화된 모습을 나타낸다.
도 6은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 방법 및 이를 이용한 증착 방법을 개략적으로 나타낸 흐름도이다.
도 7은 증착 공정 전에 반응기 내에서의 플라즈마를 안정화 시키는 또 다른 실시예를 나타낸다.
도 8은 본 발명에 따른 기판 처리 장치의 일 예를 나타낸다
도 9 및 도 10은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 단계 및 증착 방법을 개략적으로 나타낸 흐름도들이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다.
본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
본 명세서에서 사용된 용어는 특정 실시예를 설명하기 위하여 사용되며, 본 발명을 제한하기 위한 것이 아니다. 본 명세서에서 사용된 바와 같이 단수 형태는 문맥상 다른 경우를 분명히 지적하는 것이 아니라면, 복수의 형태를 포함할 수 있다. 또한, 본 명세서에서 사용되는 경우 "포함한다(comprise)" 및/또는 "포함하는(comprising)"은 언급한 형상들, 숫자, 단계, 동작, 부재, 요소 및/또는 이들 그룹의 존재를 특정하는 것이며, 하나 이상의 다른 형상, 숫자, 동작, 부재, 요소 및/또는 그룹들의 존재 또는 부가를 배제하는 것이 아니다. 본 명세서에서 사용된 바와 같이, 용어 "및/또는"은 해당 열거된 항목 중 어느 하나 및 하나 이상의 모든 조합을 포함한다.
본 명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역 및/또는 부위들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들 및/또는 부위들은 이들 용어에 의해 한정되어서는 안됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열의 의미하지 않으며, 하나의 부재, 영역 또는 부위를 다른 부재, 영역 또는 부위와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역 또는 부위는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역 또는 부위를 지칭할 수 있다.
이하, 본 발명의 실시예들은 본 발명의 이상적인 실시예들을 개략적으로 도시하는 도면들을 참조하여 설명한다. 도면들에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예는 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조상 초래되는 형상의 변화를 포함하여야 한다.
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 방법 및 이를 이용한 증착 방법을 개략적으로 나타낸 흐름도이다.
도 1을 참조하면, 먼저 기판이 없는 상태에서 반응기 내 플라즈마 안정화 단계(S101)가 수행된다. 플라즈마 안정화 단계(S101)는 플라즈마 매칭 포인트를 탐색하기 위한 단계로서, 소스 기체 공급 단계(이하 "(a) 단계"로 지칭), 퍼지 기체 공급 단계(이하 "(b) 단계"로 지칭), 반응 기체 공급 단계(이하 "(c) 단계"로 지칭), 및 플라즈마 공급 단계(이하 "(d) 단계"로 지칭)를 포함할 수 있다. 플라즈마 안정화 단계(S101) 동안, 상기 퍼지 기체 및 반응 기체는 상기 (a) 단계부터 (d) 단계 동안 반응기 내로 지속적으로(continuously) 공급될 수 있다. 또한 상기 (a) 단계부터 (d) 단계는 반응기 내 기판이 없는 상태(즉, 기판이 언로딩된 상태)에서 진행될 수 있다.
플라즈마 안정화 단계(S101)가 수행된 이후, 기판이 반응기 내로 로딩된다(S102). 상기 기판은 이전 언로딩된 기판과 다른 로트(lot)의 기판일 수도 있고, 동일한 로트(lot)의 기판일 수도 있다. 기판이 반응기 내로 로딩된 후에, 상기 기판에 대한 플라즈마 원자층 증착이 수행된다(S103).
플라즈마 원자층 증착 공정에서 플라즈마는 중요한 공정 요소이다. 즉, 양호한 막질 형성을 위해 플라즈마의 안정적 생성이 중요하다. 한편, 원자층 증착 공정에서는 기체간의 빠른 교체(fast gas switching) 주기로 인해 플라즈마 파워의 점화/소멸 주기 역시 짧고 빠르다. 따라서 플라즈마 정합기(matching network)가 플라즈마가 점화되는 짧은 시간에 안정적인 플라즈마 매칭 포인트(matching point)를 찾아야 한다. 특히 공정이 시작되는 초기 단계에서 플라즈마가 점화될 때 점화되는 시간 동안 플라즈마의 매칭이 동시에 이루어지지 않아 초기 증착 공정에서 공정에 참여하는 플라즈마 인가 파워(forward power)가 감소하고 반송 파워(reflect power)가 증가하는 등의 공정의 불안정이 주요 문제가 되고 있다.
예를 들어, 기판이 연속적으로 처리될 경우 반응 공간내의 증착 분위기가 그대로 유지되므로 큰 문제가 되지 않지만, 기판의 연속적 처리가 중단되는 경우가 존재한다. 예를 들어, 여러 매의 기판들을 보유하는 FOUP와 같은 카세트 내 기판들이 소진되어 증착이 중단되고 다른 카세트(즉, 다른 로트)로의 변경이 이루어질 수 있다. 또한 반응기 내 쌓인 박막을 제거하기 위해 증착이 중단되고 인-시츄 클리닝 공정이 수행될 수도 있다.
이러한 한 로트에 대한 처리가 끝나고 다음 로트에 대한 처리가 시작되기 까지의 유휴시간(idle time)이 길어질 경우, 반응 공간내의 증착 분위기가 바뀌게 된다. 따라서 후속 기판(예를 들어 다음 로트의 첫 번째 기판)의 처리에 있어서, 플라즈마 매칭 포인트를 찾는 시간이 길어지게 된다. 이 경우 증착 공정 초기에 박막 증착이 불안정해지는 문제가 발생할 수 있다.
본 발명은 이러한 문제를 해결하기 위해 반응기 내 기판이 없는 상태(즉, 기판이 언로딩된 상태)에서 플라즈마 안정화 단계를 수행하는 것을 제안한다. 이와 같은 플라즈마 안정화 단계를 수행한 후 기판을 로딩하여 증착 단계를 수행함으로써, 기판상에 박막을 증착할 때 플라즈마의 불안정(예를 들어, 파워 감소) 현상을 방지할 수 있다. 그 결과, 공정 초기부터 안정적으로 증착 공정이 수행될 수 있다.
도 2는 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 방법 및 이를 이용한 증착 방법을 개략적으로 나타낸 흐름도이다.
도 2를 참조하면, 반응기 내 로딩된 기판에 대한 증착 단계(S201)가 수행된다. 이후 상기 증착 단계가 중단되고, 기판이 언로딩된다(S202). 기판이 언로딩된 후 기판이 없는 상태에서, 퍼지 기체 및 반응 기체가 공급된다(S203). 상기 퍼지 기체 및 상기 반응 기체는 이후 설명될 단계들 동안 계속적으로 공급될 수 있다.
퍼지 기체는 아르곤과 같은 비활성 기체일 수 있고, 질소 또는 산소와 같이 상대적으로 안정한 기체일 수도 있다. 반응 기체는 산화막을 생성하고자 하는 경우 산소 기체일 수 있고, 질화막을 형성하고자 하는 경우 질소 기체일 수 있으며, 공급되어지는 기체는 형성하고자 하는 막의 종류에 따라 결정될 수 있다.
선택적으로, 퍼지 기체는 반응 기체로도 사용될 수 있으며, 이 경우 퍼지 기체 및 반응 기체는 동일한 종류의 단일 기체(즉, 반응성 퍼지 기체(reactive purge gas))가 될 것이다. 상기 반응성 퍼지 기체는 연속적으로 공급될 수 있다. 따라서 상기 반응성 퍼지 기체는 플라즈마가 인가되지 않은 경우에는 퍼지 기체의 역할을 하고, 플라즈마가 공급되는 경우 활성화되어 기판상에 화학흡착된 소스기체와 화학반응을 하여 증착되는 박막의 구성 성분이 될 수 있다.
예를 들어, 반응성 퍼지 기체는 산소 기체 또는 질소 기체일 수 있다. 상기 산소 기체 또는 질소 기체는 소스 기체와 반응성이 없어 플라즈마가 공급되기 전에는 퍼지 기체의 역할을 수행할 수 있다. 플라즈마 공급 시에는 상기 산소 기체 또는 질소 기체가 활성화되어 소스 기체와 반응하고 그에 따라 산화막 또는 질화막이 형성될 수 있다.
퍼지 기체 및 반응 기체가 공급된 이후(또는 이와 동시에), 소스 기체가 공급된다(S204). 실리콘 관련 막질을 형성하고자 하는 경우, 상기 소스 기체는 실리콘을 포함하는 물질, 예를 들어 모노실란, 디실란, 디클로로실란(DCS), 및 비스디에틸아미노실란(BDEAS) 내지 디이소프로필아미노실란(DIPAS)과 같은 아미노실란 등의 실란 물질들 중 적어도 하나를 포함할 수 있다. 상기 소스 기체는 반응기 벽 또는 서셉터 상에 흡착될 수 있다.
이후 반응기에 플라즈마가 공급된다(S205). 상기 플라즈마에 의해 반응 기체(또는 소스 기체)가 활성화될 수 있다. 상기 플라즈마는 반응공간 내 기판 위에서 직접 발생하는 직접 플라즈마 방식(in-situ plasma) 혹은 반응기 외부에서 발생시켜 반응 공간내로 공급하는 원격 플라즈마 방식(remote plasma) 등으로 구현될 수 있다. 상기 플라즈마의 파워는, 기판의 증착 공정 시 공급되는 플라즈마의 파워 이하일 수 있다.
플라즈마 활성화에 의해, 반응기 벽 상에 흡착된 소스 기체와 반응 기체가 반응하여 박막이 형성될 수 있다. 또한 기판이 언로딩된 상태이므로, 서셉터 상에 흡착된 소스 기체와 반응 기체가 반응하여 상기 서셉터 상에 박막이 형성될 수도 있다.
이후, 플라즈마가 안정화되었는지 여부가 결정된다(S206). 상기 안정화 여부의 결정은 전술한 단계가 소정 횟수 반복되었는지 여부를 기초로 이루어질 수 있다. 또한, 상기 안정화 여부의 결정은 별도의 장치(예를 들어 플라즈마의 포워드 파워를 측정하는 장치)를 이용하여 결정될 수도 있다.
플라즈마가 안정화되지 않은 것으로 판단된 경우, 전술한 소스 기체 공급 단계 및 플라즈마 인가 단계가 다시 수행된다. 상기 단계들이 수행되는 동안 퍼지 기체 및 반응 기체는 계속적으로 공급될 수 있다.
플라즈마가 안정화된 것으로 판단된 경우, 플라즈마 안정화(S203 내지 S206)가 종료되고, 새로운 기판이 로딩된다(S207). 이후 로딩된 기판에 대한 증착이 수행된다(S208).
전술한 바와 같이, 기판이 반응 공간에 로딩되기 전에 반응 공간에서 소스 기체, 반응 기체, 및 플라즈마 공급을 교대로 공급하면서 플라즈마 매칭 조건에 맞는 환경을 조성함으로써 이후 기판이 로딩되고 공정이 시작될 때 플라즈마 파워가 감소하는 현상이 사라지게 되고 공정 초기부터 안정적으로 증착 공정이 이루어지게 된다.
도 3은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 단계를 개략적으로 나타낸 타이밍도이다.
도 3을 참조하면, 전술한 플라즈마 안정화 방법은 복수의 사이클로 수행될 수 있다. 보다 구체적으로, 소스 기체 공급 단계, 퍼지 기체 공급 단계, 반응 기체 공급 단계, 및 플라즈마 공급 단계를 하나의 사이클로 하여 복수의 사이클들이 수행될 수 있다.
상기 복수의 사이클들은 사전-점화 사이클(pre-ignition cycle), 중간-점화 사이클(mid-ignition cycle), 및 후속-점화 사이클(post-ignition cycle) 중 적어도 하나를 포함할 수 있다. 본 실시예에서는 상기 3개의 사이클들 모두가 수행됨을 전제로 설명되지만, 본 발명은 그에 제한되지 아니하고, 상기 사이클들 중 일부만이 수행될 수도 있음에 유의한다.
먼저 사전-점화 사이클에서는, t0 내지 t1의 시간 동안 소스 기체가 공급된다. 반응기체 및 퍼지 기체는 t0 내지 t4의 시간 동안(또한 이후의 시간 동안) 반응기 내로 연속적으로(continuously) 공급된다.
소스 기체가 공급되고 t1의 시간에서 소스 기체의 공급이 중단된다. 이후 t2의 시간에서 플라즈마가 인가(공급)되고, t3의 시간에서 플라즈마의 인가(공급)이 중단된다. 전술한 과정에 의해 반응기 내의 벽 또는 서셉터에 상에 박막이 증착될 수 있다.
인가되는 플라즈마 파워의 크기는 정규 증착 공정 시의 파워보다 작을 수 있다. 바람직하게는, 사전-점화 사이클 동안 인가되는 플라즈마 파워는 정규 증착 공정 시의 플라즈마 파워 대비 약 1/3일 수 있다. 예를 들어, 정규 증착 공정 시에 공급되는 플라즈마 파워가 300W이면, 사전-점화 사이클 동안 인가되는 플라즈마 파워는 100W일 수 있다. 상기 t0 내지 t4 시간 구간은 수회(즉, m1회) 반복될 수 있다. 예를 들어, 사전-점화 사이클은 대략적으로 3 내지 5 사이클 반복될 수 있다.
상기 사전 점화 사이클 이후, 중간-점화 사이클(mid-ignition cycle)이 수행될 수 있다. 보다 구체적으로, 중간-점화 사이클의 t4 내지 t5 시간 동안 소스기체가 공급되고, t4 내지 t8 시간 동안 반응 기체 및 퍼지 기체가 연속으로 공급된다. 그리고 t6 내지 t7 시간 동안 플라즈마가 공급되어, 반응기체가 활성화되고 소스 기체와 반응하여 반응기 내 벽에 박막이 형성된다.
중간-점화 사이클 동안 인가되는 플라즈마 파워의 크기는 사전-점화 사이클 동안 인가되는 플라즈마의 파워보다 크며, 정규 증착 공정시의 플라즈마의 파워보다는 작을 수 있다. 바람직하게는, 중간-점화 사이클 동안 인가되는 플라즈마 파워는 정규 증착 공정 시의 플라즈마 파워 대비 약 1/2일 수 있다. 예를 들어, 정규 증착 공정 시에 공급되는 플라즈마 파워가 300W이면, 사전-점화 사이클 동안 인가되는 플라즈마 파워는 150W일 수 있다. 상기 t4 내지 t8 시간 구간은 수회(즉, m2회) 반복될 수 있다. 예를 들어, 중간-점화 사이클은 대략적으로 3 내지 5 사이클 반복될 수 있다.
상기 중간 점화 사이클 이후, 후속-점화 사이클(mid-ignition cycle)이 수행될 수 있다. 보다 구체적으로, 후속-점화 사이클의 t8 내지 t9 시간 동안 소스기체가 공급되고, t8 내지 t12 시간 동안 반응 기체 및 퍼지 기체가 연속으로 공급된다. 그리고 t10 내지 t11 시간 동안 플라즈마가 공급되어, 반응기체가 활성화되고 소스 기체와 반응하여 반응기 내 벽에 박막이 형성된다.
후속-점화 사이클 동안 인가되는 플라즈마 파워의 크기는 중간-점화 사이클 동안 인가되는 플라즈마의 파워보다 크며, 정규 증착 공정시의 플라즈마의 파워와 같거나 그보다 작을 수 있다. 바람직하게는, 후속-점화 사이클 동안 인가되는 플라즈마 파워는 정규 증착 공정 시의 플라즈마 파워와 동일할 수 있다. 예를 들어, 정규 증착 공정 시에 공급되는 플라즈마 파워가 300W이면, 후속-점화 사이클 동안 인가되는 플라즈마 파워는 300W일 수 있다. 상기 t8 내지 t12 시간 구간은 수회(즉, m3회) 반복될 수 있다. 예를 들어, 후속-점화 사이클은 대략적으로 3 내지 5 사이클 반복될 수 있다.
비록 도 3에서 사전-점화 사이클, 중간-점화 사이클, 및 후속-점화 사이클이 1회씩 실시되는 예가 개시되었지만, 본 발명은 이에 제한되지 않음에 유의한다. 예를 들어, 전술한 바와 같이, 사전-점화 사이클, 중간-점화 사이클, 및 후속-점화 사이클은 각각 3~5회 반복될 수 있다. 또한, 전술한 실시예에서는 사전-점화 사이클, 중간-점화 사이클, 및 후속-점화 사이클 동안 공급되는 플라즈마 파워가, 각각, 상기 증착 공정 시 공급되는 플라즈마 파워의 1/3 크기, 1/2 크기, 및 동등한 크기인 것으로 설명되었으나, 본 발명은 이에 제한되지 않고, 각 사이클마다 공급되는 플라즈마 파워의 크기가 기판의 증착 공정 시 공급되는 플라즈마 파워의 10내지 100%의 크기 범위에서 순차적으로(나아가 단계적으로) 증가되도록 조절될 수 있다.
도 4는 오랜 유휴 시간(idle time) 이후 공정을 진행하여 첫 번째 기판에 대한 증착이 이루어질 때, 플라즈마가 불안정하게 발생하는 모습을 나타낸다. 도 4를 참조하면, 플라즈마 생성기에서 생성된 플라즈마의 인가 파워(forward power)가 일정하게 유지되지 않고 공정 초기 도중에 감소하는 현상이 나타난다.
이러한 파워 강하(power drop)는, 반응 공간의 긴 유휴 시간 이후 기판상에서 공정이 시작될 때 플라즈마가 점화(ignition)되는 시간 동안에 플라즈마 매칭이 제대로 이루어지지 않기 때문에 발생한다. 플라즈마의 매칭 시간이 길어지면서 이인가 파워(forward power)가 줄어들고 반송 파워(reflect power)가 증가하게 된다. 따라서 실제 반응에 참여하는 플라즈마 파워가 줄어들어 증착되는 박막의 질(film quality)이 떨어지고, 그 결과 첫 번째 웨이퍼를 폐기하여야 하는 문제가 발생하게 된다.
본 발명의 기술적 사상에 의한 실시예들에 따르면, 점화 사이클을 반복하면서, 반응 공간 내에 플라즈마 공정을 위한 분위기가 형성된다. 따라서 유휴 시간 이후(예를 기판 로트(lot)가 변경되는 경우 또는 반응기의 인-시츄 클리닝이 이루어지는 경우) 최초 기판이 반응 공간 내로 로딩되어 공정을 진행할 때, 최초 기판부터 안정적인 챔버 및 플라즈마 분위기 속에서 안정적인 공정을 진행할 수 있다.
도 5는 본 발명에 따른 플라즈마 안정화 단계를 진행한 후 기판을 로딩하여 통상의 증착 단계(normal process step)를 진행할 때 반응공간에 공급된 플라즈마 파워가 안정화된 모습을 나타낸다.
도 5를 참조하면, 플라즈마 안정화 단계가 수행된다. 플라즈마 안정화 단계 동안, 실제 증착 공정 시의 플라즈마 파워인 350W의 약 57.15%인 200W의 파워가 공급될 수 있다. 이와 같이 플라즈마 안정화 단계가 수행됨으로써, 정규 증착 공정 동안 도 4에서와 같은 플라즈마의 인가 파워 강하 현상이 발생하지 않음을 알 수 있다. 다시 말해, 플라즈마 안정화 단계로 인하여 기판이 로딩되기 전 반응 공간 내에 안정적인 플라즈마 매칭 조건을 위한 챔버 분위기가 설정될 수 있다. 따라서 이어서 기판이 로딩 된 후에, 공정 초기부터 안정적인 플라즈마 공정이 진행될 수 있다.
도 6은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 방법 및 이를 이용한 증착 방법을 개략적으로 나타낸 흐름도이다.
도 6을 참조하면, 먼저 N=1로 설정되고(S601), 퍼지 기체, 반응 기체, 및 소스 기체를 반응기 내로 공급되는 제1 단계(S602)가 수행된다. 선택적인 실시예에서, 상기 제1 단계 동안, 반응성 퍼지 기체 및 소스 기체가 반응기 내로 공급될 수 있다.
이후 소스 기체의 공급이 중단되는 제2 단계(S603)가 수행된다. 상기 제2 단계 동안 퍼지 기체 및 반응 기체의 공급은 계속될 수 있다. 선택적인 실시예에서, 상기 제2 단계에서 소스 기체의 공급은 중단되고 반응성 퍼지 기체의 공급은 계속될 수 있다.
제2 단계 후 소정의 시간이 지난 뒤, 전력값 P를 갖는 플라즈마를 인가하는 제3 단계(S604)가 수행된다. 선택적 실시예에서, 상기 제3 단계(S604)에서 반응성 퍼지 기체가 계속 공급되며 플라즈마에 의해 활성화 되어, 상기 제1단계에 공급되어 반응기 내벽 혹은 서셉터에 흡착된 소스 기체와 화학반응을 하여 박막을 형성한다. 전술한 바와 같이 상기 플라즈마는 인시츄 방식 또는 원격 방식으로 인가되거나 공급될 수 있다. 제3 단계 이후, 플라즈마의 인가를 중단하는 제4 단계(S605)가 수행된다.
전술한 실시예들과 마찬가지로, 상기 제1 단계 내지 제4 단계(S602~S605)는 하나의 사이클로서, 복수 회 반복될 수 있다. 즉, 상기 제1 단계 내지 제4 단계(S602~S605)를 하나의 사이클로 하여 복수의 사이클들이 수행될 수 있다. 또한, 상기 복수의 사이클들은 사전-점화 사이클(pre-ignition cycle), 중간-점화 사이클(mid-ignition cycle), 또는 후속-점화 사이클(post-ignition cycle)에 속할 수 있고, 각 사이클마다 공급되는 플라즈마 파워의 크기는 서로 다를 수 있다.
먼저 사전-점화 사이클이 m1회 수행될 수 있고, 사전-점화 사이클이 m1회 수행되었는지 여부가 판단된다(S606). N < m1 인 경우 N 값을 증가시키고 사전-점화 사이클의 제1 단계 내지 제4 단계(S602~S605)가 반복된다. N >= m1 인 경우 중간-점화 사이클을 수행하기 위해 전력값 P가 조정(예를 들어, 감소, 유지, 또는 증가)되고(S607), 중간-점화 사이클의 제1 단계 내지 제4 단계가 수행된다.
이후 중간-점화 사이클이 m2회 수행되었는지 여부를 판단하기 위해 N >= m1+m2 인지 여부가 판단된다(S608). N < m1+m2 인 경우 N 값을 증가시키고 중간-점화 사이클의 제1 단계 내지 제4 단계가 반복된다. N >= m1+m2 인 경우 후속-점화 사이클을 수행하기 위해 전력값 P가 조정(예를 들어, 감소, 유지, 또는 증가)되고(S609) 후속-점화 사이클의 제1 단계 내지 제4 단계가 수행된다.
이후 후속-점화 사이클이 m3회 수행되었는지 여부를 판단하기 위해 N >= m1+m2+m3 인지 여부가 판단된다(S610). N < m1+m2+m3 인 경우 N 값을 증가시키고 후속-점화 사이클의 제1 단계 내지 제4 단계가 반복된다. N >= m1+m2+m3 인 경우 후속-점화 사이클이 완료된 것이므로, 기판을 반응 공간에 로딩하고 기판에 대한 증착을 수행하는 제5 단계(S611)가 수행된다.
전술한 바와 같이, 소스 기체의 공급을 중단하는 제2 단계(S603)와 플라즈마를 인가하는 제3 단계(S604) 동안 퍼지 기체 및 반응 기체(또는 반응성 퍼지 기체)가 계속적으로 공급될 수 있다. 또한, 플라즈마의 인가를 중단하는 제4 단계(S605)와 기판을 증착하는 제5 단계(S611) 동안에도 퍼지 기체 및 반응 기체(또는 반응성 퍼지 기체)가 계속적으로 공급될 수 있다.
도 7은 증착 공정 전에 반응기 내에서의 플라즈마를 안정화 시키는 또 다른 실시예를 나타낸다. 도 7을 참조하면, 플라즈마 안정화 단계 동안, 실제 증착 공정 시의 플라즈마 파워인 300W의 약 50%인 150W의 파워가 일정하게 공급될 수 있다. 다시 말해, 소스 기체 공급 단계, 퍼지 기체 공급 단계, 반응 기체 공급 단계, 및 플라즈마 공급 단계를 하나의 사이클로 하여 복수의 사이클들을 수행하되, 각 사이클마다 공급되는 플라즈마 파워의 크기는 동일하고 기판의 증착 공정 시 공급되는 플라즈마 파워의 크기보다 작도록 설정될 수 있다. 그러나 본 발명은 이에 제한되지 아니하며, 점화 단계에서 인가되는 플라즈마 파워는 실제 증착 공정 시 플라즈마 파워의 10% 내지 100%의 범위 내에서 일정하게 유지되거나 단계적으로 증가 또는 감소할 수 있다.
도 8은 본 발명에 따른 기판 처리 장치의 일 예를 나타낸다. 상기 장치는 반응 챔버(reaction chamber, 810), 이송 챔버(transfer chamber, 820), 로드-락(load-lock, 830), EFEM(equipment front end module)과 같은 이송 장치(840), 및 FOUP(front opening unified pod)와 같은 (웨이퍼) 캐리어(850)를 포함할 수 있다.
반응 챔버(810)에서는 기판 상에 소스 기체 및 반응 기체를 공급하여 박막을 증착하는 공정이 진행될 수 있다. 또한, 반응 챔버(810)에서는 증착 이외에도 에칭 및 기타 기판에 대한 실질적인 가공 처리가 진행될 수 있다. 이송 챔버(820)는 로드-락(830)과 반응 챔버(810) 사이에서 기판의 이송/반송을 수행하는 이송 로봇(825)을 포함할 수 있다. 로드-락(830)은, 반응 챔버(810)로의 로딩을 위한 기판들이 대기하거나 반응 챔버(810)에서 처리가 완료된 기판들이 냉각되도록 구성될 수 있다. 상기 반응 챔버(810), 상기 이송 챔버(820), 및 상기 로드-락(830)은 진공상태로 유지될 수 있다. 혹은 상기 이송 챔버(820)내의 압력은 상기 반응챔버(810)보다 높고 챔버 외부의 대기압보다 낮게 유지됨으로써 상기 반응챔버(810)에서 소스기체 혹은 반응기체가 상기 이송챔버(820)로 역류되어 상기 이송 챔버(820)가 오염되는 것을 방지할 수 있도록 구성될 수 있다.
EFEM과 같은 이송 장치(840)는 대기압 상태로 유지될 수 있고, 상기 이송 장치(840)는 로봇 암을 포함할 수 있다. 상기 로봇 암은 FOUP와 같은 캐리어(850)에서 기판을 빼내어 로드-락(830)으로 전달하거나 로드락-에서 기판을 빼내어 상기 캐리어(850) 내의 원래 위치로 넣도록 구성될 수 있다.
상기 캐리어(850)는 처리 전 또는 처리 후의 기판을 보관하는 용기로서, 예를 들어 25매의 기판을 수용하도록 구성될 수 있다. 상업적 생산의 경우 1개의 캐리어(850) 단위로, 예를 들어 25매의 기판 단위로 공정이 진행되는데, 이것을 로트(lot)로 지칭한다. 따라서 1개의 로트를 처리할 때, 통상적으로 1개의 캐리어(FOUP, 850) 내의 25 매의 기판들이 처리된다. 상기 캐리어는 이송 가능하며, 팹(fab)내의 자동 처리 시스템에 의해 상기 캐리어는 공정 순서에 따라 증착 장비, 에칭 장비, 포토 장비 등의 기판 처리 장치로 이송된다.
복수의 제1 기판들(예를 들어 제1 로트)에 대한 제1 증착 단계가 수행된 후, 새로운 복수의 제2 기판들(예를 들어 제2 로트)에 대한 제2 증착 단계가 수행되는 경우, 상기 제1 증착 단계와 상기 제2 증착 단계 사이에 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 단계가 수행될 수 있다. 즉, 상기 플라즈마 안정화 단계는 상기 제1 기판들 중 최종 기판에 대한 증착과 상기 제2 기판들 중 최초 기판에 대한 증착 사이의 유휴 시간(idle time) 동안 수행될 수 있다.
전술한 바와 같이, 유휴 시간(idle time) 이후 공정을 진행하여 첫 번째 기판에 대한 증착이 이루어질 때, 불안정한 플라즈마가 생성될 수 있다. 본 발명은 이러한 문제를 해결하기 위해 제1 로트에 대한 증착이 완료된 후 제2 로트에 대한 증착이 개시되기 전까지 플라즈마 안정화 단계를 수행한다. 그 결과 반응 공간 내에 플라즈마 공정을 위한 분위기가 형성되어 최초 기판부터 안정적인 증착이 수행될 수 있다.
선택적인 실시예에서, 상기 플라즈마 안정화 단계는, 기판이 캐리어(850)에서 이송 장치(840)로 이송되는 제1 기간, 상기 기판이 상기 이송 장치(840)에서 로드락(830)으로 이송되는 제2 기간, 상기 기판이 상기 로드락(830)에서 이송 챔버(820)로 이송되는 제3 기간, 및 상기 이송 챔버(820)에서 반응 챔버(810)로 이송되는 제4 기간 중 적어도 하나의 기간 동안 수행될 수 있다.
이러한 실시예가 도 9에 보다 구체적으로 개시된다. 도 9를 참조하면, 먼저 제1 로트의 제1 기판들에 대한 증착이 수행되고(S910), 제1 로트에 대한 작업이 완료되면 기판이 언로딩된다(S920). 이후 언로딩된 증착 장비에 대한 플라즈마 안정화가 수행되고(S930), 동시에 제2 로트의 제2 기판들이 반응 챔버로 이송된다(S940). 즉, 플라즈마 안정화 단계는 제2 로트의 제2 기판들이 반응 챔버로 이송되는 유휴 시간 동안 수행될 수 있다. 이후 기판이 로딩되고(S950) 및 로딩된 기판에 대한 증착이 수행된다(S960).
이와 같이 기판이 반응 챔버로 이송 중일 때(즉, 기판이 캐리어에서 이송 장치로, 이송 장치에서 로드-락으로, 로드-락에서 이송 챔버를 거쳐 반응 공간으로 이송 중일 때) 플라즈마 안정화가 진행되므로, 플라즈마 안정화에도 불구하고 실질적으로 시간당 생산성에는 영향을 미치지 않을 수 있다. 선택적인 실시예에서, 본 발명에 따른 플라즈마 안정화 공정이 진행되는 동안, 기판은 이송 챔버 내의 로봇 암(robot arm)에 놓인 채 반응 공간 앞에서 대기할 수도 있다.
다른 선택적인 실시예에서, 상기 플라즈마 안정화 단계는, 반응 챔버(반응기) 내벽에 형성된 박막을 제거하기 위해 수행되는 인-시츄 클리닝이 수행된 이후 수행될 수 있다.
이러한 실시예가 도 10에 보다 구체적으로 개시된다. 도 10을 참조하면, 먼저 제1 기판들에 대한 증착이 수행되고(S1010), 제1 기판들에 대한 작업이 완료되면 제1 기판들이 언로딩된다(S1020). 이후 언로딩된 증착 장비에 대한 인-시츄 클리닝이 수행된다(S1030). 상기 인-시츄 클리닝은 전술한 바와 같이 증착 프로세스의 계속적인 진행에 의해 증착 장비 내 반응 공간에 증착된 박막을 제거하기 위해 수행될 수 있다.
인-시츄 클리닝 이후, 플라즈마 안정화를 수행하여 반응 챔버 내 박막을 형성한다(S1040). 이후 복수의 제2 기판들이 로딩되고(S1050) 상기 제2 기판들에 대한 증착이 수행된다(S1060). 다시 말해, 상기 플라즈마 안정화는 제1 기판들 중 최종 기판에 대한 증착과 제2 기판들 중 최초 기판에 대한 증착 사이의 유휴 시간(idle time) 동안 수행될 수 있다. 또한, 상기 제1 기판들과 상기 제2 기판들은 동일한 로트에 포함될 수도 있고, 서로 다른 로트에 포함될 수도 있다.
도 11은 본 발명의 기술적 사상에 의한 실시예들에 따른 플라즈마 안정화 방법 이후 수행되는 원자 층 증착 공정을 나타낸다.
도 11을 참조하면, 플라즈마 원자 층 증착은 두 종류의 기체들과 플라즈마가 반응 공간으로 공급된다. 상기 기체들 및 플라즈마는 펄스 형태로 및/또는 교대로 공급될 수 있다. 또한 상기 기체들 중 적어도 일부는 증착 공정 동안 계속적으로 공급될 수도 있다.
예를 들어, 제1 기체가 t0 내지 t1 시간 동안 반응 공간으로 공급되면서 기판상에 화학흡착(chemisorption)된다. 이후 t1 내지 t2시간 동안 제1 기체의 공급은 중단되고, 퍼지 기체가 반응 공간으로 공급되어 반응 공간에 잔류하고 있는 제1 기체를 반응기 외부로 배출시킨다. t2 내지 t3 시간 동안 제2 기체가 반응 공간으로 공급되고 기판 상에 화학흡착된 제1 기체와 화학반응을 하면서 박막층(thin film layer)이 형성된다.
상기 박막층이 저온에서 형성될 수 있도록(즉, 저온에서 화학 반응이 일어날 수 있도록), t2 내지 t3 시간 동안 플라즈마가 공급된다. 이후 t3 내지 t4 시간 동안 제2 기체의 공급이 중단되고 다시 퍼지 기체가 공급되면서 잔류하는 제2 기체가 반응기에서 제거된다. 도 11의 실시예에서는 제2 기체 공급 시 플라즈마를 공급(인가)하도록 개시되어 있지만, 상기 플라즈마는 제1 기체의 공급과 동기화하여 공급(인가)될 수도 있다.
이러한 단위 박막을 형성하는 1회의 과정을 사이클(cycle)로 정의한다. 즉, 도 11에 나타난 t0 내지 t4 시간을 1 사이클(1 cycle)로 정의하고, 상기 사이클을 수 회 반복하면서 원하는 두께의 박막이 형성될 수 있다.
선택적인 실시예에서, 도 11에 개시된 원자 층 증착 공정을 이용하여 플라즈마 안정화 단계가 수행될 수도 있다. 즉, 기판이 언로딩된 상태에서 도 11의 원자 층 증착 공정을 수행하여, 유휴 시간 이후의 최초 기판의 증착을 안정적으로 수행할 수 있다. 다시 말해, 증착 단계를 수행하기 이전에 상기 증착 단계와 유사한 조건으로 소스 기체, 반응 기체, 및 플라즈마를 공급하여 플라즈마 매칭 포인트를 탐색함으로써, 최초 기판에 대한 안정적인 증착을 달성할 수 있다.
상기 유사한 조건의 예로서, 증착 단계 시 이용되는 제1 기체는 플라즈마 안정화 단계 동안 공급된 소스 기체를 포함하거나 상기 소스 기체와 동일한 기체일 수 있다. 또한, 증착 단계 시 이용되는 제2 기체는 플라즈마 안정화 단계 동안 공급된 반응 기체를 포함하거나 상기 반응 기체와 동일한 기체일 수 있다.
또한, 플라즈마 안정화 단계와 증착 단계 시 공급되는 기체의 종류뿐만 아니라 다른 파라미터들도 동일할 수 있다. 예를 들어, 플라즈마 안정화 단계 동안 공급되는 소스 기체, 퍼지 기체, 및 반응 기체의 유속은, 기판의 증착 공정 시 공급되는 소스 기체, 퍼지 기체, 및 반응 기체의 유속과 동일할 수 있다.
반면에, 플라즈마 안정화 단계 동안 공급되는 플라즈마는, 전술한 바와 같이 증착 단계 시 공급되는 플라즈마보다 낮은 전력값을 갖고, 점차 증가하도록 설정될 수 있다. 이와 같이 플라즈마의 전력을 조절함으로써 반응 공간 내 보다 완만한 분위기 형성 및 플라즈마 정합이 달성될 수 있다.
본 발명을 명확하게 이해시키기 위해 첨부한 도면의 각 부위의 형상은 예시적인 것으로 이해하여야 한다. 도시된 형상 외의 다양한 형상으로 변형될 수 있음에 주의하여야 할 것이다.
이상에서 설명한 본 발명이 전술한 실시예 및 첨부된 도면에 한정되지 않으며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.

Claims (20)

  1. (a) 소스 기체 공급 단계;
    (b) 퍼지 기체 공급 단계;
    (c) 반응 기체 공급 단계;
    (d) 플라즈마 공급 단계;로 이루어지며, 상기 퍼지 기체 및 반응 기체는 상기 (a) 단계부터 (d)단계 동안 반응기 내로 지속적으로(continuously) 공급되며 상기 과정은 반응기에 기판이 없는 상태에서 진행되는 것을 특징으로 하는 플라즈마 안정화 방법.
  2. 청구항 1에 있어서,
    상기 (a) 내지 (d) 단계를 하나의 사이클로 하여 복수의 사이클들을 수행하되, 각 사이클마다 공급되는 플라즈마 파워의 크기가 다른 것을 특징으로 하는 플라즈마 안정화 방법.
  3. 청구항 2에 있어서,
    각 사이클마다 공급되는 플라즈마 파워의 크기는, 기판의 증착 공정 시 공급되는 플라즈마 파워의 10내지 100%의 크기 범위에서 순차적으로 증가되는 것을 특징으로 하는 플라즈마 안정화 방법.
  4. 청구항 3에 있어서,
    상기 플라즈마 파워의 크기는 단계적으로 증가되는 것을 특징으로 하는, 플라즈마 안정화 방법.
  5. 청구항 3에 있어서,
    상기 복수의 사이클들은 사전-점화 사이클(pre-ignition cycle), 중간-점화 사이클(mid-ignition cycle), 또는 후속-점화 사이클(post-ignition cycle)에 속하는, 플라즈마 안정화 방법.
  6. 상기 5항에 있어서,
    상기 사전-점화 사이클, 상기 중간-점화 사이클, 및 상기 후속-점화 사이클 동안 공급되는 플라즈마 파워는, 각각, 상기 증착 공정 시 공급되는 플라즈마 파워의 1/3 크기, 1/2 크기, 및 동등한 크기인 것을 특징으로 하는, 플라즈마 안정화 방법.
  7. 청구항 5에 있어서,
    상기 사전-점화 사이클, 상기 중간-점화 사이클, 및 상기 후속-점화 사이클은 각각 3회 내지 5회 반복되는 것을 특징으로 하는 플라즈마 안정화 방법.
  8. 청구항 1에 있어서,
    상기 (a) 내지 (d) 단계를 하나의 사이클로 하여 복수의 사이클들을 수행하되, 각 사이클마다 공급되는 플라즈마 파워의 크기는 동일하고 기판의 증착 공정 시 공급되는 플라즈마 파워의 크기보다 작은 것을 특징으로 하는, 플라즈마 안정화 방법.
  9. 청구항 1에 있어서,
    상기 소스 기체, 상기 퍼지 기체, 및 상기 반응 기체의 유속은 기판의 증착 공정 시 공급되는 소스 기체, 퍼지 기체, 및 반응 기체의 유속과 동일한 것을 특징으로 하는 플라즈마 안정화 방법.
  10. 청구항 1에 있어서,
    상기 단계 (a) 내지 단계 (d)에 의해 반응기 내벽에 박막이 형성되는 것을 특징으로 하는 플라즈마 안정화 방법.
  11. 청구항 1에 있어서,
    상기 반응 기체 및 상기 퍼지 기체는 동일한 종류의 반응성 퍼지 기체이고,
    상기 반응성 퍼지 기체는, 플라즈마로 활성화되지 않으면 상기 소스 기체와 화학반응을 하지 않고 플라즈마로 활성화되면 상기 소스 기체와 화학반응을 하는 것을 특징으로 하는 플라즈마 안정화 방법.
  12. 청구항 1에 따른 플라즈마 안정화 방법을 수행하는 단계;
    반응기 내로 기판을 로딩하는 단계; 및
    상기 기판에 대한 증착을 수행하는 단계를 포함하는, 증착 방법.
  13. 퍼지 기체, 반응 기체, 및 소스 기체를 공급하는 제1 단계;
    상기 제1 단계 이후에, 상기 소스 기체의 공급을 중단하는 제2 단계;
    상기 제2 단계 이후에, 플라즈마를 인가하는 제3 단계;
    상기 제3 단계 이후에, 상기 플라즈마의 인가를 중단하는 제4 단계; 및
    상기 제4 단계 이후에, 반응기 내로 기판을 로딩하고 상기 기판에 대한 증착을 수행하는 제5 단계를 포함하는, 플라즈마 안정화 방법.
  14. 청구항 13에 있어서,
    상기 제2 단계와 상기 제3 단계 사이에동안 그리고 상기 제4 단계와 상기 제5 단계 사이에동안 상기 퍼지 기체 및 반응 기체가 공급되는, 플라즈마 안정화 방법.
  15. 복수의 제1 기판들에 대한 제1 증착 단계; 및
    복수의 제2 기판들에 대한 제2 증착 단계를 포함하고,
    상기 제1 증착 단계와 상기 제2 증착 단계 사이에 플라즈마 안정화 단계가 수행되며,
    상기 플라즈마 안정화 단계 동안 퍼지 기체 및 반응 기체가 공급되고, 소스 기체의 공급 및 플라즈마의 공급이 교대로 수행되는 것을 특징으로 하는, 증착 방법.
  16. 청구항 15에 있어서,
    상기 플라즈마 안정화 단계는, 기판이 캐리어에서 이송 장치로 이송되는 제1 기간, 상기 기판이 상기 이송 장치에서 로드락 장치로 이송되는 제2 기간, 상기 기판이 상기 로드락 장치에서 이송 챔버로 이송되는 제3 기간, 및 상기 이송 챔버에서 반응 챔버로 이송되는 제4 기간 중 적어도 하나의 기간 동안 수행되는 것을 특징으로 하는, 증착 방법.
  17. 청구항 15에 있어서,
    상기 플라즈마 안정화 단계는 상기 제1 기판들 중 최종 기판에 대한 증착과 상기 제2 기판들 중 최초 기판에 대한 증착 사이의 유휴 시간(idle time) 동안 수행되는, 증착 방법.
  18. 청구항 17에 있어서,
    상기 복수의 제1 기판들은 제1 로트에 포함되고, 상기 복수의 제2 기판들은 상기 제1 로트와 다른 제2 로트에 포함되는, 증착 방법.
  19. 청구항 17에 있어서,
    상기 플라즈마 안정화 단계는 반응 챔버에 대한 인-시츄 클리닝이 수행된 이후에 수행되는, 증착 방법.
  20. 청구항 15에 있어서,
    상기 제1 증착 단계 및 상기 제2 증착 단계 중 적어도 하나는,
    제1 기체를 공급하는 단계;
    퍼지 기체를 이용하여 잔류하는 제1 기체를 제거하는 단계;
    제2 기체 및 플라즈마를 공급하는 단계; 및
    퍼지 기체를 이용하여 잔류하는 제2 기체를 제거하는 단계를 포함하고,
    상기 제1 기체는 상기 플라즈마 안정화 단계 동안 공급된 상기 소스 기체를 포함하고,
    상기 제2 기체는 상기 플라즈마 안정화 단계 동안 공급된 상기 반응 기체를 포함하는, 증착 방법.
KR1020160029105A 2016-03-10 2016-03-10 플라즈마 안정화 방법 및 이를 이용한 증착 방법 KR102637922B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020160029105A KR102637922B1 (ko) 2016-03-10 2016-03-10 플라즈마 안정화 방법 및 이를 이용한 증착 방법
US15/451,273 US9972490B2 (en) 2016-03-10 2017-03-06 Plasma stabilization method and deposition method using the same
TW106107502A TWI656561B (zh) 2016-03-10 2017-03-08 電漿穩定方法與使用其之沉積方法
CN201710144112.8A CN107177833B (zh) 2016-03-10 2017-03-10 等离子稳定化方法以及使用所述方法的沉积方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160029105A KR102637922B1 (ko) 2016-03-10 2016-03-10 플라즈마 안정화 방법 및 이를 이용한 증착 방법

Publications (2)

Publication Number Publication Date
KR20170105883A true KR20170105883A (ko) 2017-09-20
KR102637922B1 KR102637922B1 (ko) 2024-02-16

Family

ID=59788548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160029105A KR102637922B1 (ko) 2016-03-10 2016-03-10 플라즈마 안정화 방법 및 이를 이용한 증착 방법

Country Status (4)

Country Link
US (1) US9972490B2 (ko)
KR (1) KR102637922B1 (ko)
CN (1) CN107177833B (ko)
TW (1) TWI656561B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107833830B (zh) * 2017-11-22 2021-03-12 上海华力微电子有限公司 一种改善一体化刻蚀聚集残留缺陷的方法
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP2021176168A (ja) * 2020-05-01 2021-11-04 東京エレクトロン株式会社 処理システム
JP2021180306A (ja) * 2020-05-12 2021-11-18 エーエスエム・アイピー・ホールディング・ベー・フェー 高スループットマルチチャンバ基材処理システム
JP2022098040A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140031794A (ko) * 2012-09-05 2014-03-13 에이에스엠 아이피 홀딩 비.브이. 플라즈마 점화 안정화 방법
KR20170038429A (ko) * 2015-09-30 2017-04-07 주식회사 원익아이피에스 질화막의 제조방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6086962A (en) * 1997-07-25 2000-07-11 Diamonex, Incorporated Method for deposition of diamond-like carbon and silicon-doped diamond-like carbon coatings from a hall-current ion source
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
JP3733021B2 (ja) * 2000-12-15 2006-01-11 シャープ株式会社 プラズマプロセス方法
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US7125583B2 (en) 2002-05-23 2006-10-24 Intel Corporation Chemical vapor deposition chamber pre-deposition treatment for improved carbon doped oxide thickness uniformity and throughput
US20060046412A1 (en) * 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
JP4325301B2 (ja) * 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8075953B2 (en) * 2005-09-15 2011-12-13 Hiap L. Ong and Kyoritsu Optronics Co., Ltd Thin organic alignment layers with a batch process for liquid crystal displays
JP4997925B2 (ja) * 2006-11-08 2012-08-15 日新電機株式会社 シリコンドット形成方法及び装置並びにシリコンドット及び絶縁膜付き基板の形成方法及び装置
JP2011097041A (ja) * 2009-10-02 2011-05-12 Showa Denko Kk 半導体素子の製造方法
US20150136585A1 (en) * 2012-06-01 2015-05-21 Applied Materials, Inc. Method for sputtering for processes with a pre-stabilized plasma
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140031794A (ko) * 2012-09-05 2014-03-13 에이에스엠 아이피 홀딩 비.브이. 플라즈마 점화 안정화 방법
KR20170038429A (ko) * 2015-09-30 2017-04-07 주식회사 원익아이피에스 질화막의 제조방법

Also Published As

Publication number Publication date
US20170263442A1 (en) 2017-09-14
CN107177833B (zh) 2019-09-17
CN107177833A (zh) 2017-09-19
KR102637922B1 (ko) 2024-02-16
US9972490B2 (en) 2018-05-15
TW201801135A (zh) 2018-01-01
TWI656561B (zh) 2019-04-11

Similar Documents

Publication Publication Date Title
US8383525B2 (en) Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US9564341B1 (en) Gas-phase silicon oxide selective etch
KR102637922B1 (ko) 플라즈마 안정화 방법 및 이를 이용한 증착 방법
TW201833374A (zh) 用於鈍化半導體表面之方法及相關系統
US20100218724A1 (en) Substrate processing apparatus
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
CN112640041A (zh) 从室清除SnO2膜的方法
KR20210072826A (ko) 에칭 챔버의 방향성 증착
JP2001237243A (ja) インシチュ誘電体スタックの製造方法及びそのプロセス
TW201327672A (zh) 乾蝕刻製程
US8084088B2 (en) Method of improving the wafer-to-wafer thickness uniformity of silicon nitride layers
WO2013146278A1 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6290177B2 (ja) 基板処理装置、基板処理装置のクリーニング方法及び半導体装置の製造方法並びにプログラム
US20220157616A1 (en) Substrate processing method and substrate processing system
US20220165554A1 (en) Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
WO2020189288A1 (ja) 成膜方法および成膜装置
TW201546877A (zh) 成膜方法、成膜裝置及記錄媒體
KR102513230B1 (ko) 성막 장치의 운용 방법 및 성막 장치
CN109868459B (zh) 一种半导体设备
US10685848B2 (en) Workpiece processing method
JP2003105544A (ja) 成膜装置
US11359281B2 (en) Selective deposition of SiCON by plasma ALD
US20240170254A1 (en) Batch processing chambers for plasma-enhanced deposition
TW202333230A (zh) 電漿處理方法及電漿處理裝置
CN118140298A (zh) 基板处理装置及利用该基板处理装置的基板处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant