JP2014017406A5 - - Google Patents

Download PDF

Info

Publication number
JP2014017406A5
JP2014017406A5 JP2012154698A JP2012154698A JP2014017406A5 JP 2014017406 A5 JP2014017406 A5 JP 2014017406A5 JP 2012154698 A JP2012154698 A JP 2012154698A JP 2012154698 A JP2012154698 A JP 2012154698A JP 2014017406 A5 JP2014017406 A5 JP 2014017406A5
Authority
JP
Japan
Prior art keywords
plasma
etching
containing gas
gas
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012154698A
Other languages
English (en)
Other versions
JP5968130B2 (ja
JP2014017406A (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from JP2012154698A external-priority patent/JP5968130B2/ja
Priority to JP2012154698A priority Critical patent/JP5968130B2/ja
Priority to PCT/JP2013/068327 priority patent/WO2014010499A1/ja
Priority to KR1020147034541A priority patent/KR102033975B1/ko
Priority to CN201380030520.0A priority patent/CN104364886B/zh
Priority to US14/409,053 priority patent/US9412617B2/en
Priority to TW102124472A priority patent/TWI585848B/zh
Publication of JP2014017406A publication Critical patent/JP2014017406A/ja
Publication of JP2014017406A5 publication Critical patent/JP2014017406A5/ja
Publication of JP5968130B2 publication Critical patent/JP5968130B2/ja
Application granted granted Critical
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (15)

  1. 処理室内に処理ガスのプラズマを生成することによって,前記被処理基板に形成された多層膜を,パターニングされたマスク層をマスクとしてプラズマエッチングするプラズマ処理方法であって,
    前記多層膜は,比誘電率の異なる第1膜及び第2膜が交互に積層された積層膜と,この積層膜上に形成された窒化珪素層と,を有し,
    臭素含有ガス,塩素含有ガス,ヨウ素含有ガスのうちの1つ又は2つ以上を組合せたガスとフルオロカーボン系ガスとを含む処理ガスを前記処理室内に導入してプラズマエッチングを複数回実行することによって,前記窒化珪素層から前記積層膜に渡って凹部を徐々に形成していくエッチング処理を行い,
    その際に所定のタイミングで前記処理ガスにホウ素含有ガスを所定の流量比で添加することによって,前記凹部に露出する前記窒化珪素層の側壁に保護膜を形成しながら前記積層膜のエッチングを進行させることを特徴とするプラズマ処理方法。
  2. 前記ホウ素含有ガスは,少なくとも最初のプラズマエッチングで導入することを特徴とする請求項1に記載のプラズマ処理方法。
  3. 前記ホウ素含有ガスは,前記最初のプラズマエッチングから所定回数のプラズマエッチングに渡って導入することを特徴とする請求項1又は2に記載のプラズマ処理方法。
  4. 前記ホウ素含有ガスは,前記最初のプラズマエッチングからすべての回数のプラズマエッチングに渡って導入することを特徴とする請求項3に記載のプラズマ処理方法。
  5. 前記ホウ素含有ガスの流量比は,前記積層膜のエッチングが進行するに連れて徐々に減少させることを特徴とする請求項3又は4に記載のプラズマ処理方法。
  6. 前記ホウ素含有ガスの流量比を減少させるタイミングは,前記プラズマエッチングの所定回数ごとであることを特徴とする請求項5に記載のプラズマ処理方法。
  7. 前記処理室内に上部電極と下部電極を対向して設け,前記下部電極上に被処理基板を配置し,
    前記下部電極に印加するバイアス用高周波電力は,前記積層膜のエッチングが進行するに連れて増加することを特徴とする請求項1〜6のいずれかに記載のプラズマ処理方法。
  8. 前記下部電極に印加するバイアス用高周波電力を増加するタイミングは,前記プラズマエッチングの所定回数ごとであることを特徴とする請求項7に記載のプラズマ処理方法。
  9. 前記最初のプラズマエッチングでは,前記ホウ素含有ガスの流量比は少なくともHBrガスに対して10%以上40%以下の範囲で設定することを特徴とする請求項2〜8のいずれかに記載のプラズマ処理方法。
  10. 前記ホウ素含有ガスは,三弗化ホウ素,三塩化ホウ素,酸化ホウ素のいずれかであることを特徴とする請求項1〜9のいずれかに記載のプラズマ処理方法。
  11. 前記被処理基板の温度は,少なくとも前記複数回のプラズマエッチングにかけては,150℃〜200℃になるように調整することを特徴とする請求項1〜10のいずれかに記載のプラズマ処理方法。
  12. 前記第1膜と第2膜のいずれか一方はシリコン酸化膜であり,他方はポリシリコン膜であることを特徴とする請求項1〜11のいずれかに記載のプラズマ処理方法。
  13. 処理室内に上部電極と下部電極を対向して設け,前記下部電極上に被処理基板を配置し,前記処理室内に処理ガスのプラズマを生成することによって,比誘電率の異なる第1膜及び第2膜が交互に積層された積層膜と,この積層膜上に形成された窒化珪素層とを有する多層膜を,パターニングされたマスク層をマスクとしてプラズマエッチングするプラズマ処理装置であって,
    プラズマ生成機構と,
    前記プラズマ生成機構を制御して,臭素含有ガス,塩素含有ガス,ヨウ素含有ガスのうちの1つ又は2つ以上を組合せたガスとフルオロカーボン系ガスとを含む処理ガスを前記処理室内に導入し,前記下部電極に第1高周波電源からプラズマ生成用高周波電力を印加すると共に,前記下部電極に第2高周波電源からバイアス用高周波電力を印加して前記窒化珪素層から前記積層膜に渡って凹部を徐々に形成していくエッチング処理を行う制御部と,
    前記制御部は,前記エッチング処理の際に,所定のタイミングで前記処理ガスにホウ素含有ガスを所定の流量比で添加するように制御することによって,前記凹部に露出する前記窒化珪素層の側壁に保護膜を形成しながら前記積層膜のエッチングを進行させることを特徴とするプラズマ処理装置。
  14. 前記プラズマ生成用高周波電力は27MHz以上であり,前記バイアス用高周波電力は、380kHz以上1MHz以下であることを特徴とする請求項13に記載のプラズマ処理装置。
  15. 前記処理室内に上部電極と下部電極を対向して設け,前記下部電極上に被処理基板を配置し,前記下部電極に27MHz以上のプラズマ生成用高周波電力を印加すると共に380kHz以上1MHz以下のバイアス用高周波電力を印加することを特徴とする請求項1〜6のいずれかに記載のプラズマ処理方法。
JP2012154698A 2012-07-10 2012-07-10 プラズマ処理方法及びプラズマ処理装置 Active JP5968130B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2012154698A JP5968130B2 (ja) 2012-07-10 2012-07-10 プラズマ処理方法及びプラズマ処理装置
US14/409,053 US9412617B2 (en) 2012-07-10 2013-07-04 Plasma processing method and plasma processing apparatus
KR1020147034541A KR102033975B1 (ko) 2012-07-10 2013-07-04 플라즈마 처리 방법 및 플라즈마 처리 장치
CN201380030520.0A CN104364886B (zh) 2012-07-10 2013-07-04 等离子体处理方法
PCT/JP2013/068327 WO2014010499A1 (ja) 2012-07-10 2013-07-04 プラズマ処理方法及びプラズマ処理装置
TW102124472A TWI585848B (zh) 2012-07-10 2013-07-09 A plasma processing method and a plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012154698A JP5968130B2 (ja) 2012-07-10 2012-07-10 プラズマ処理方法及びプラズマ処理装置

Publications (3)

Publication Number Publication Date
JP2014017406A JP2014017406A (ja) 2014-01-30
JP2014017406A5 true JP2014017406A5 (ja) 2015-05-28
JP5968130B2 JP5968130B2 (ja) 2016-08-10

Family

ID=49915957

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012154698A Active JP5968130B2 (ja) 2012-07-10 2012-07-10 プラズマ処理方法及びプラズマ処理装置

Country Status (6)

Country Link
US (1) US9412617B2 (ja)
JP (1) JP5968130B2 (ja)
KR (1) KR102033975B1 (ja)
CN (1) CN104364886B (ja)
TW (1) TWI585848B (ja)
WO (1) WO2014010499A1 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6277004B2 (ja) 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6230930B2 (ja) * 2014-02-17 2017-11-15 東京エレクトロン株式会社 半導体装置の製造方法
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
JP6454492B2 (ja) * 2014-08-08 2019-01-16 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6423643B2 (ja) 2014-08-08 2018-11-14 東京エレクトロン株式会社 多層膜をエッチングする方法
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
JP6328524B2 (ja) * 2014-08-29 2018-05-23 東京エレクトロン株式会社 エッチング方法
JP6339961B2 (ja) * 2015-03-31 2018-06-06 東京エレクトロン株式会社 エッチング方法
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
JP6494424B2 (ja) * 2015-05-29 2019-04-03 東京エレクトロン株式会社 エッチング方法
US20170053793A1 (en) 2015-08-17 2017-02-23 Tokyo Electron Limited Method and system for sculpting spacer sidewall mask
US20170062456A1 (en) * 2015-08-31 2017-03-02 Cypress Semiconductor Corporation Vertical division of three-dimensional memory device
JP6495854B2 (ja) 2016-03-16 2019-04-03 東芝メモリ株式会社 半導体装置の製造方法
KR102566770B1 (ko) 2016-07-27 2023-08-16 삼성전자주식회사 반도체 장치의 제조 방법
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
CN107978674A (zh) * 2016-10-25 2018-05-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件及制备方法、电子装置
JP6697372B2 (ja) * 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
CN106847821B (zh) * 2017-03-07 2018-09-14 长江存储科技有限责任公司 半导体结构及其形成方法
KR102356741B1 (ko) 2017-05-31 2022-01-28 삼성전자주식회사 절연층들을 갖는 반도체 소자 및 그 제조 방법
JP6948181B2 (ja) * 2017-08-01 2021-10-13 東京エレクトロン株式会社 多層膜をエッチングする方法
CN107658305A (zh) * 2017-08-31 2018-02-02 长江存储科技有限责任公司 一种半导体刻蚀方法及其形成结构
JP7137927B2 (ja) * 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
KR102437273B1 (ko) 2018-03-14 2022-08-30 삼성전자주식회사 3차원 반도체 메모리 장치의 제조 방법
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
JP7229033B2 (ja) * 2019-02-01 2023-02-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN112119484B (zh) * 2019-04-19 2024-03-22 株式会社日立高新技术 等离子体处理方法
JPWO2020100339A1 (ja) * 2019-06-26 2021-02-15 株式会社日立ハイテク プラズマ処理方法
CN110808251A (zh) * 2019-11-12 2020-02-18 中国科学院微电子研究所 一种三维存储器的沟道制备方法
WO2021171458A1 (ja) * 2020-02-27 2021-09-02 株式会社日立ハイテク プラズマ処理方法
KR20220162166A (ko) * 2020-04-01 2022-12-07 램 리써치 코포레이션 갭 충진 동안 심 완화 및 통합된 라이너
WO2022140108A1 (en) * 2020-12-21 2022-06-30 Tokyo Electron Limited Conformal amorphous carbon layer etch with side-wall passivation
CN117941036A (zh) * 2021-09-02 2024-04-26 朗姆研究公司 用于蚀刻含碳层的方法和装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07263415A (ja) * 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
US6291356B1 (en) * 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
JP4014456B2 (ja) * 2002-06-19 2007-11-28 株式会社日立ハイテクノロジーズ エッチング処理方法
US7112479B2 (en) * 2004-08-27 2006-09-26 Micron Technology, Inc. Methods of forming gatelines and transistor devices
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
JP4554479B2 (ja) * 2005-09-13 2010-09-29 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7910283B2 (en) * 2005-11-21 2011-03-22 Shin-Etsu Chemical Co., Ltd. Silicon-containing antireflective coating forming composition, silicon-containing antireflective coating, substrate processing intermediate, and substrate processing method
US7368394B2 (en) 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
US7286948B1 (en) 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
WO2009085672A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
JP2009266944A (ja) 2008-04-23 2009-11-12 Toshiba Corp 三次元積層不揮発性半導体メモリ

Similar Documents

Publication Publication Date Title
JP2014017406A5 (ja)
JP5762491B2 (ja) エッチング方法
TWI559393B (zh) 三維快閃結構用之蝕刻製程
KR102329531B1 (ko) 플라스마 처리 방법 및 플라스마 처리 장치
TWI579892B (zh) 用以形成具有多膜層的間隔壁之蝕刻方法
KR102584336B1 (ko) 에칭 처리 방법
CN106206286B (zh) 蚀刻方法
TWI670768B (zh) 電漿蝕刻方法
JP2014112668A5 (ja)
TW201709321A (zh) 乾式蝕刻方法
JP2016207840A5 (ja)
CN104867827A (zh) 蚀刻方法
JP2019508883A5 (ja)
JP2015154047A5 (ja)
JP2013046070A5 (ja)
TWI723096B (zh) 蝕刻方法
JP2016197680A5 (ja)
JP2016192483A5 (ja)
JP6541739B2 (ja) プラズマエッチング方法
TWI389865B (zh) 用於電漿處理系統之刻痕停止脈波程序
JP2010263132A5 (ja)
JP2011134896A5 (ja)
JP2017208548A5 (ja)
TWI570804B (zh) A method of forming a notch at the bottom of the hole during the etching process, and a method of forming the hole
JP2014072269A5 (ja)