JP2012522264A - 有機物質を除去するための組成物及び方法 - Google Patents

有機物質を除去するための組成物及び方法 Download PDF

Info

Publication number
JP2012522264A
JP2012522264A JP2012501991A JP2012501991A JP2012522264A JP 2012522264 A JP2012522264 A JP 2012522264A JP 2012501991 A JP2012501991 A JP 2012501991A JP 2012501991 A JP2012501991 A JP 2012501991A JP 2012522264 A JP2012522264 A JP 2012522264A
Authority
JP
Japan
Prior art keywords
diethylene glycol
weight
solvent
composition
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012501991A
Other languages
English (en)
Other versions
JP2012522264A5 (ja
Inventor
ウェイン キレン マイケル
エドワード オデール デール
フィリップ リー ザカリー
クレオン ムーア ジョン
エンス マッケンタイアー エドワード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eastman Chemical Co
Original Assignee
Eastman Chemical Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eastman Chemical Co filed Critical Eastman Chemical Co
Publication of JP2012522264A publication Critical patent/JP2012522264A/ja
Publication of JP2012522264A5 publication Critical patent/JP2012522264A5/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L81/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing sulfur with or without nitrogen, oxygen or carbon only; Compositions of polysulfones; Compositions of derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • C11D2111/22
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen

Abstract

電子デバイス基板、例えばマイクロエレクトロニクスウエハー及びフラットパネルディスプレイの基板から有機物質を除去するのに有用な組成物及び方法を提供する。無機基板に塗膜として最小限容量の組成物を適用し、そのため十分な熱が加えられ、完全に除去するためにすぐに水で濯がれる方法を提供する。これらの組成物及び方法は、電子デバイスから、ポジ型及びネガ型種類のフォトレジスト並びに熱硬化性ポリマーを除去し、完全に溶解するのに特に好適である。

Description

本発明は概ね、基板から有機物質を除去することに関する。具体的には、本発明は、電子デバイス、例えば半導体ウエハー及びフラットパネルディスプレイ(FPD)、及びその他のマイクロ電子基板から非晶質ポリマー及び熱硬化性ポリマーを除去するのに適用される、一連の組成物を用いた普遍的な方法に関する。
電子デバイスの製造時には、フォトレジスト及び有機系誘電体を含む種々のポリマーが使用される。フォトレジストは、例えば、フォトリソグラフィ作業において半導体デバイス製作全体を通して使用される。レジストはフォトマスクを通る化学線に暴露される。ポジ型レジストが使用される場合、露光は材料内部の化学反応を引き起こし、その結果、水性アルカリ中の溶解度が増大し、材料が現像剤で溶解され濯ぎ去られるのを可能にする。ネガ型材料の場合、ポリマーの架橋が露光された領域内に発生するのに対して、非露光領域は変化しないままである。非露光領域は好適な現像剤化学物質によって溶解させられ、濯ぎ去られる。現像に続いて、レジストマスクが後に残される。レジストマスクのデザイン及びジオメトリは、レジストのポジティブトーン又はネガティブトーンに依存し、ポジティブトーンレジストは、フォトマスクのデザインに合致するのに対して、ネガティブトーンレジストは、フォトマスクのデザインと反対のパターンを提供することになる。フォトレジストを使用するためには、次の回路デザイン・プロセス工程が実施される前に、マスクの最終クリーニングを含むいくつかのクリーニング工程が必要となる。
有機系誘電体は、マイクロ電子回路に絶縁特性を提供するために使用されるエンジニアリング・ポリマーである。これらの化学物質の一例としては、ポリイミド(PI)、及びHitachi-DuPont Microsystemsによって製造されたポリ−(p−フェニレン−2,6−ベンゾビスオキサゾール)(PBO)が挙げられる。電子用途の別のよく知られている有機絶縁体は、米国を本拠地とするDow Chemical Companyによって製造されたビスベンゾシクロブテン(BCB)である。これらのポリマーは、コンベンショナルなスピン塗布、又はスプレー塗布を用いたフォトレジストと同様に基板に塗布されてよく、或いは、FPDを製造する際に一般的であるようにスリット塗布されてもよい。これらの適用方法を理由として、有機系誘電体はスピン・オン誘電体と呼ばれることがしばしばある。一旦ポリマーが適用されると、誘電体にパターニング・プロセスを施すことができるが、しかし、最終的にはこれらのシステムのすべては最終段階硬化に通じており、このような硬化は、化学・物理特性の変化を被ることにより材料を所定の場所に永久的に固定する。最終材料は、電気回路の性能にとって望ましい電気特性及び物理特性の両方を呈する。これらの有機系誘電体が完全に硬化されると、これらは永久的と考えられ、これにより、再加工が必要な場合には、基板又は隣接する金属をおそらく攻撃してしまう強酸又は強塩基のような攻撃的な材料を使用することが必要となり、或いはより現実的には、再加工状態は商業的に利用できないと考えられる。
ポジ型フォトレジストは一般に、フロントエンド半導体及びフラットパネルディスプレイの製造に際して高分解能デバイス処理のために選択されるノボラック又はポリヒドロキシスチレン(Phost)類から成る樹脂を基剤としている。世界的規模で製造されているフォトレジストの極めて大きい部分をポジティブトーンシステムが占め、多くの供給元がある。半導体及びFPD双方のためのこれらのシステムの供給元の一例としては、米国を本拠地とするAZ Electronic Materials、米国を本拠地とするRohm and Haas Corporation、及び日本企業Tokyo Ohka Kogyo Co Ltdが挙げられる。ポジ型フォトレジスト用途では、基板をプラズマ処理によってエッチングする。これらのプラズマ処理は、不活性種及び化学種のガスを使用して、マスクを通過して基板内を下方にエッチングするイオン化種及び反応種の双方を生成する。エッチング中、イオン化種及び反応種は、基板原子と合体し、副産物を形成し、そしてその副産物は、プラズマ・システムの低減された圧力を介して排出される。これらの同じガス状種はまた、フォトレジスト・マスクに衝突して、これを所定の位置にベーキングし、やはり炭素含有副産物をプラズマ中に放出する。フォトレジスト副産物は、プラズマ中の他の種と混合し、そして連続的に下方へ基板に向かって導かれる。これらの材料は凝縮して、エッチングされた構成要件の側壁に沿って残留物を形成し、異方性エッチングとも呼ばれる望ましい状態を生み出す。この場合、種は高度に制御され、横方向損失がほとんど又は全くない状態で基板内に導かれる。完成したら、このエッチング残留物をレジストマスクとともに除去することが望ましい。それというのも、これらは後続のプロセスに不都合な影響を及ぼし、デバイス性能の低下又はデバイスの故障を招くおそれがあるからである。このような残留物及びこれらに関連するレジストマスクは、除去するのが難しく、通常は配合された剥離剤化学物質の使用を伴う。
ネガ型フォトレジストは一般に、より厳密なプロセス条件のために選ばれる。この場合、より攻撃的な化学的又は熱的曝露プロセスを用いることができる。これらのネガ型フォトレジストはイソプレン(ゴム)、アクリル、及びエポキシ系樹脂を含む。環化イソプレン(ゴム)フォトレジストがその高い耐化学薬品性のために選ばれる。これらのフォトレジストは例えば、SC-Resist 又はHNR-Resistの商品名でFujifilm Electronic Materialsから入手することができる。ネガティブトーン・イソプレン樹脂レジストは、アルミニウム処理において一般的である。ここでは簡単な化学エッチングを用いて、マスキングされた構成要件を取り囲む金属を除去する。ネガティブトーン・アクリル・フォトレジストが、ウエハーレベル・パッケージング・バンピングのために一般に選ばれる。供給元は、ドライフィルム・ネガ型アクリルに関しては例えば米国を本拠地とするE. I. duPont de Nemours and Companyのプリント回路部門(商品名Riston)、及びスピンオン(ウェット)ネガ型アクリルに関しては日本のJSR Corporationを含む。ドライフィルム及びスピンオン・アクリルは、対応するはんだバンプをパターニングするために使用される25〜120ミクロン(μm)の厚層を堆積する能力を提供する。パターンが形成されたら、加熱された酸にレジストを晒すプロセスである電気メッキ、又は250℃を上回るベーキングをレジストに施すプロセスであるスクリーン印刷によって金属堆積が行われる。別のよく知られたネガ型レジストとしてはSU-8(登録商標)という商品名のエポキシ系があり、これは、元はInternational Business Machines (IBM)によって開発されたものであり、現在は米国企業MicroChem Corporation、及びスイスを本拠地とする企業Gersteltec Engineering Solutionsによって販売されている。SU-8(登録商標)は一般に、高いアスペクト比(すなわち高さと幅との比)を有し、また極度にまっすぐな側壁を呈するためのパターン定義を有する、300ミクロン(μm)を上回ることがある厚いパターンのために選ばれる。SU-8(登録商標)エポキシ樹脂の極めて独特な特性により、この種類のフォトレジストは、数多くのデバイスを製造するために選択されており、最も一般的なものはマイクロ電気機械システム(MEMS)を含む。実際、SU-8(登録商標)フォトレジストは、永久的な系と考えられ、これを除去するためには、より複雑な時間とコストのかかる作業を行うしかないと一般には理解されている。
フォトリソグラフィに関与するあらゆるプロセスと同様に、次のプロセスにうまく進むために、基板からフォトレジストを完全に除去することが望ましい。フォトレジストの不完全な剥離は、次のエッチング又は堆積工程中に不規則性をもたらすおそれがある。このことは品質及び収率の問題を引き起こす。例えば、はんだバンプ形成中、レジストの汚染が、回路基板集成体のリフロープロセス中に金属パッドに対する金属はんだの濡れを阻み、その結果、完成済の集成体の収率損失が生じることがある。同じフォトレジスト汚染は、ライン・デバイス・パターニングの初期段階で有機汚染として現れ、エッチング又は堆積プロセスにおいてまさに同じ、濡れない問題を招く。このような不規則性は、これがいかに小さなものであっても、製造全体を通して最終的なデバイスの組み立て中及び試験中に至るまで、この問題を悪化させ続ける。この状態は、機械的電気的接触を不良にし、このことは高い抵抗及び熱をもたらし、又はさらに悪いことには、破滅的な電気短絡を引き起こす。
これらの化学プロセスの各プロセス全体を通して、清浄度及び高いスループットにおける最大限の選択性を不都合のない状態で満たさなければならないことは明らかである。性能の不足、残留物の存在、又はさらに悪いことに、プロセスの厄介さの増大を伴ういかなる問題点もすべて、収率を低下させ、コストを増大させる。
一般に理解されているように、ポジティブトーンレジストの化学物質は典型的には親水性(極性)で非晶質(すなわち非熱硬化性、架橋型)であり、これらの理由から、これらの系は、コンベンショナルな溶剤及び/又は化学剥離剤を使用してクリーニング(除去)するのがより容易であると考えられる。ポジティブトーン化学物質の樹脂は、ノボラック(クレゾール、フェノール−ホルムアルデヒド)又はポリヒドロキシスチレン(PHost)をベースとしており、スチレン化コポリマー及び/又はアクリル/PMMAを伴う場合もある。これらの化学物質は、種々様々な表面に対する良好な付着性及び定着性をもたらす一方、ノボラックの種々の形態(すなわちクレゾール、ビス−フェノールなど)に存在するヒドロキシル基が、水溶解を助ける分子間水素結合を提供する。このような状態はノボラック系では、開始剤ジアゾナフトキノン(DNQ)の光変換中に組み合わさるのに対して、PHost系の場合、エステルの酸触媒型の脱保護が、より高可溶性のアルコールを形成する。100℃までの通常の作業条件下で使用されると、これらの系は極性溶剤中に可溶性であり続ける一方、UV露光によって水性塩基中に可溶性である対向部分が生じる。
本明細書中に示すように、ポジティブトーンレジストは、プラズマ系エッチングのための主要画像形成マスクとして使用される。このプロセス中、150℃を上回る温度にマスクを晒している間、プラズマ中の種はエッチング残留物を生成する。エッチング残留物(例えば側壁ポリマー)がプラズマとフォトレジストの有機成分との副産物から成ることがよく知られている。残留物の化学物質は、基板、金属トポグラフィ、及びプラズマ・ガスの成分を含むことができ、これらの物質には、ケイ素、ガリウム、ヒ素、ホウ素、ホスフェート、チタン、タンタル、タングステン、銅、ニッケル、アルミニウム、クロム、フッ素、塩素、並びに炭素含有化合物が含まれる。ヒドロキシル成分を含有するノボラック系中では、これらの高温曝露条件は、不溶性種を形成するためのさらなる反応を容易にする。ハロゲン化アルキル、エステル、及びいくつかの事例では高分子量ポリマーを生成するための、特にプラズマの加熱された酸性の条件におけるヒドロキシル基とハロゲン化物及び活性金属とのこのような反応性は公知である(Morrison, R. T. and Boyd, R. N., Organic Chemistry, 3rd Ed., Allyn & Bacon, Inc., Boston MA, Ch. 16 (1973))。高温プラズマ・エッチングの影響から生じる、エッチング残留物及び過剰曝露されたフォトレジスト・マスクのコンベンショナルなクリーニングは、化学剥離剤を使用して、プロセス及び工具に依存して高温で長時間にわたって行われることを必要とする。
バルク樹脂の剥離攻撃を予測するために用いられる典型的な測定は、ガラス転移温度(Tg)の熱分析測定を含む。ポジティブトーンフォトレジスト及び同様の非晶質の系内では、比較的変化しないままのTg値が観察される(Fedynyshyn, T. et al., Proc. SPIE 6519, 65197-1 (2007))。フォトレジスト内のTgの検出可能な増大は、溶剤の蒸発損失と関数関係にあり、この蒸発損失は、フォトレジスト塗膜の厚さに依存することが判っている。最も注目に値するのは、照射及び熱曝露によってポリマー架橋とともにTgの増大が観察されることである(J. D. D'Amour et at., Proc. SPIE 5039, 966 (2003))。高温に晒されたノボラック樹脂及びネガティブトーン系のこのような架橋は、Tg値の増大によって検出可能な、より高い分子量の種の存在と合致する。
フォトレジスト・エッチング残留物及びマスクのクリーニング(除去)のためには、有機溶剤、アミン、水、還元剤、キレート剤、防食剤、及び界面活性剤から成る複合化学剥離剤を使用する。還元剤、ヒドロキシルアミンは、下側に位置するアルミニウム金属構成要件を保護しながら、フォトレジスト及びその残留物の溶解を容易にする塩基性材料として文献に広範囲に引用されている。剥離剤化学物質の使用に共通する作業は、所与の時間にわたって特定の温度で、クリーニングされるべき基板に大量の剥離剤を供給することに関与する。
業界がデバイスにおける性能を改善するために、アルミニウムに代えて銅を使用し続けているのに伴って、剥離剤化学物質も調節されなければならない。アルミニウム・デバイスをクリーニングするために、ヒドロキシルアミンを受け入れることができるが、しかしこれは銅には攻撃的すぎる。銅及び低K(誘電率K)、例えばCu/低Kを用いたデバイス・アーキテクチャは、ケイ素含有エッチング残留物を除去するためにフッ素系化学物質を必要とする。アミン及びアンモニア化合物は、Cuのための錯化剤であることが知られており、銅金属をエッチング(攻撃)することが観察されている。加えて、フッ素化されたグリコール系剥離剤化学物質は、毒性があると考えられ、また高い粘度を呈する。
ウエハーバンピング金属化マスクを形成する上で使用されるネガ型フォトレジストは一般に、アクリル、スチレン、無水マレイン酸、又は関連モノマー及びコポリマーを含む。このような材料は、感光性の厚膜を製造するために使用される。これらのフォトレジストは、主ポリマー鎖に位置するペンダント基がアクリルに共通するビニル基を含んでいることにより、「アクリル」ポリマー系と呼ばれる。一般に、アクリル・フォトレジストのドライフィルム形態は、厳密なプロセス条件に対する曝露が必要となる場合に選ばれる。この曝露の結果として、ドライフィルム・マスク及び残留物のクリーニングは剥離剤による攻撃によって行われる。ドライフィルム系が除去される場合には、材料は典型的には溶解されない。むしろ、多くの化学的剥離剤は材料と相互作用することにより、基板からの浮き上がり又は剥がれを生じさせ、その結果、懸濁された不溶性フレーク及び粒子が発生する。このような不溶性材料は、フィルタに付着し、処理工具の性能劣化を招くおそれがある。このことは、処理工具のメンテナンスのための休止時間が必要となる結果、生産性を著しく損なうことになる。加えて、粒子を濾過して濯ぎ去ることに失敗すると、最終製品に残留物が形成され、収率損失に関与するおそれがある。
芳香族水酸化第四アンモニウム、例えばベンジルトリメチルアンモニウムヒドロキシド(BTMAH)、溶剤、例えばアルキルスルホキシド、グリコール、及び防食剤、及び非イオン性界面活性剤を含むレジスト剥離組成物は、ウエハー表面から多くのドライフィルム・レジストを完全には除去しない。同様に、ピロリドン系溶剤、例えばN−メチルピロリドン(NMP)を使用する組成物は、これらが多くのドライフィルム・レジストを完全には除去できないという上記と同じ欠点を示す。一般に、NMP中にテトラメチルアンモニウムヒドロキシド(TMAH)として水酸化第四アンモニウムを含む組成物は、多くのライフィルム・レジストを完全には溶解させない。上述のように、不完全な溶解は汚染源となるおそれがある粒子を生成し、その結果、収率損失をもたらす。
同様の経験が、ゴム系樹脂品質のネガティブトーンフォトレジストに関しても観察される。ゴム・フォトレジストから生じる残留物及びマスクをクリーニングするために使用される剥離剤化学物質は、炭化水素溶剤、及び酸、一般にはスルホン酸を含む。加水分解ゴム成分の性能及び乳化のために、高い酸性度が必要とされる。代表的な阻害剤は、隣接する金属構成要件への攻撃を禁止するためのメルカプトベンゾチリアゾール(MBT)及び関連トリアゾールを含む。これらの化学物質のための一般的な阻害剤は、カタコール、つまり毒性の発癌性物質を含む。さらに、この種類の炭化水素剥離剤の濯ぎ工程は、イソプロパノール(IPA)、又は関連する中性の相溶性の溶剤を使用しなければならない。この濯ぎ作業は、コストが増大するものの、剥離剤の成分と水とを混合している間にpHが低下することにより、隣接する金属に対する金属攻撃効果を低減する。相溶性の問題により、炭化水素系剥離剤の使用から生じる廃棄物は、マイクロ電子工場内の通常の有機物質流から隔離しなければならない。
剥離剤化学物質の観点から、ポリマー及び残留物の除去という課題に注目するのは重要であるが、プロセスの設計及び工具の適切な性能に向けて等しく努力することも必要である。一般に理解されているように、クリーニング工具の主要な目的は、そのプロセスにおける制御を可能にすることである。部分バッチ間の変動性は、工具の作業によって低減される。ユニットによって混合及び化学物質が調節されない場合、制御のための工具が利用することができる変数は、温度、攪拌量、及び時間を含む。製造ライン内のスループットを増大させるために絶えず集中的な圧力がかけられている場合、絶えず強調されるのは、プロセス時間を短くすることである。ここでもやはり、化学物質を変化させないならば、プロセスにおける制御は、ポリマー溶解速度が増大し、その結果プロセス時間が短くなるであろうという期待をもって、温度及び攪拌量を増大させるための唯一の選択肢として残される。しかし、プロセスの目的とは矛盾する他の反応、例えば腐食速度も、温度及び攪拌量が増大するとともに、増大する。加えて、そして最も重要なことであるが、有機物質を有する剥離剤化学物質の添加が続けられ、このことは浴の寿命を低減し、残留物、又は性能低下を示す他の現象の観察を加速させる。
一連の温度において、温度又は攪拌量を高めることにより、浴の寿命を向上させることができる。基板構成要件を保護するために攪拌を制御しなければならない場合、温度の上昇に伴ってポリマー溶解度を高めることによって浴の寿命を長くすることができる。産業ガイドラインによって知らされているような基本的な安全性限界がある(SEMI S3-91, Safety Guidelines for Heated Chemical Baths)。SEMIによれば、温度に関連する液体は、液体の通常作業温度を、10℃を超えて上回ることのない温度に制御するものとする。典型的作業温度は液体の引火点を超えない。多くの企業は、引火点を10℃下回る温度で作業する、またこの温度を引火点として設定する、といったより厳しいポリシーを定める。これらの、そしてその他の基準は、フラットパネルディスプレイ(FPD)の処理において最も良好に観察することができる。
FPD製造工場におけるレジスト剥離は、1つのチャンバから別のチャンバへコンベア上で移動する大型基板上で行われる。レジストは、ガラス表面全体にフラッディングする噴霧器によって供給された剥離剤によってパネルから剥離され、濯ぎ段階に移動し、この濯ぎ段階では、蒸留水、脱イオン水、又は脱ミネラル水、又は別の溶剤が表面上に噴霧され、そしてこのプロセスはホットエア・ナイフを含む乾燥工程で完了する。剥離は、少なくとも2つの製品タンクによって支援される。これらのタンクは別個の区別可能なものであり、そして部材流れ方向でインライン配列されている。工具に入る基板は、第1のタンク内の化学物質によって先ず「洗浄」される。剥離剤は基板表面上に噴霧され、そしてレジストと反応し、基板から流れ去ったら、これを捕集してタンクに戻す。このタンクにおいて、剥離剤は続いて、懸濁された未溶解物質をバルク化学物質から除去するように、加熱され濾過される。濾過・加熱された剥離剤は次いで循環させて噴霧チャンバに戻される。この噴霧チャンバにおいて、剥離剤は、レジスト剥離プロセスを最適化する連続的な形式で基板に供給される。
部材がタンク#1によって支持される最初のチャンバから、タンク#2によって支持される次のチャンバへ、コンベア上で移動するのに伴って、剥離剤の基本的な純度の変化が生じる。タンク#2の作業条件はタンク#1のものと同じであってよいが、存在するレジストの量はタンク#1のものよりも少ない。典型的な処理時間は、レジスト剥離量及び最大除去量を最適化する、レジストと接触する化学物質の滞留時間を提供するように、チャンバ#1に対して規定される。所定の時間の経過後、タンク#1は、溶解されたレジストのための最大ローディング容量に達し、内容物を交換する決定が必要となる。決定が為されたら、タンク#1の内容物は廃棄物容器に送られ、タンク#2の内容物と交換される。タンク#2の内容物は新鮮な剥離剤(すなわち純粋な剥離剤)と交換される。このような様式において、システムは向流式で作業すると言われる。つまり、部材のプロセス流は、化学物質の流れ方向に対して「対向」方向又は反対方向である。この作業を用いることにより、タンク#1及び#2はそれぞれ汚染側タンク及び清浄側タンクとなる。換言すれば、望まれないレジストは、ラインの手前側で濃縮されるのに対して、最も清浄な化学物質は終端近くに残り、この地点の後で、製品基板は濯がれ、乾燥させられる。
FPD例のためにここに挙げられた形態は、すべてとは言わないまでもほとんどのインライン・ベンチ型工具、及び多くのバッチ型処理工具と適合する。ベンチ工具の場合、部材は1つのステーションから別のステーションへ移動するのに対して、タンクは定置である。バッチ型ツールの場合、部材は回転するが、しかし定置のままであるのに対して、化学物質は噴霧によって供給される。2つのタンクが設けられ、工具は一方又は他方からポンピングし、そして「汚染側」タンク及び「清浄側」タンクを使用することにより、向流式クリーニング・デザインを実施する。
これらの配合剥離剤を用いた処理中の選択性を達成することが等しく必要であるが、しかしこれはまだ満たされていない。つまり、時間が短縮され続ける中で所望のクリーニング性能を達成するためにより攻撃的な化学物質の使用が作業に導入されるのに伴って、このような作業は、感受性の金属及び下側の基板が損傷を被ることがあってはならない。このことは特に難題である。それというのも、選択肢の酸又はアルカリの多くが、濯ぎ工程中に水と混合されると、系のpHを急速に「スパイク」させ、電解腐食を基板金属にもたらすからである。FPDラインにおける濯ぎ段階の実施中、水が、残留剥離剤を含有する加熱されたガラス表面上に噴霧される。発泡体状態が発生し、最終的にフィルタの破滅的な故障をもたらし、乾燥気泡をポンピングし、さらに悪いことには、溢れる剥離剤によって工場を汚染し、これにより電気的短絡をトリガして火災を招く、ということがないように、FPDライン内では界面活性剤が使用されることはない。界面活性剤が使用されないので、有機剥離剤から水性状態へ表面張力が上昇するため、不規則な拡散が生じる。不規則な混合及び広がりは、パネル上に一時的なデッド・スポットをもたらし、これらのデッド・スポットは、加速された腐食の原因となる。腐食性副産物及び発泡状態は、中性溶剤、例えばイソプロパノール(IPA)で濯ぐことによって回避することができる。この作業は、いくつかのFPD製造業者によって受け入れられてはいるが、これは高価でありまた可燃性危険である。
従って、蒸留水、脱イオン水、又は脱ミネラル水による濯ぎ中に下側の金属に対して安全性を維持しながら、そしてプロセス全体を通して、表面を腐食させる、削る、溶解させる、曇らせる、又は他の形で損傷するのを阻止しながら、処理されたレジストを迅速に除去する、改善された剥離組成物が必要である。さらに、「グリーン(環境に優しい)」であることを目指すイニシアチブが業界内で高まりつつある。グリーン・プロセス及び関連する化学物質は、有害物質の使用を低減又は排除するものである。American Chemical Society's Green Chemistry Instituteによれば、グリーン化学を定義するのを助ける12の原理がある。
マイクロ電子装置製作における高分子物質の検討が、業界内の重大且つ必要不可欠な難題を提示している。有機誘電体を使用する場合には、下側の基板から不所望な材料を溶解してクリーニングすることにより、硬化されたポリマーを再加工するために用いることができる方法及び組成物が引き続き必要である。ポジ型フォトレジストの場合、隣接する金属構成要件に不都合な影響を及ぼすことなしに、基板からポリマーを効果的に除去するための方法及び組成物が引き続き同様に必要である。最後に、ネガティブトーンフォトレジストの場合、隣接する金属構成要件に不都合な影響を及ぼすことなしに、基板からポリマーを効果的に除去するための方法及び組成物が同じく必要である。これらの材料のすべては、元来は有機であるが、これらの化学特性は異なり、所望のクリーニング効果をもたらすために克服しなければならない独自の難題を提供する。
固有の組成物で有機物質を除去する必要性に対処することを望む一方、基板に不都合な影響を及ぼすことなしに、部材を迅速に処理し、水で濯ぐことを可能にする工具によって支援される方法を設計するという課題もある。マイクロエレクトロニクス産業が、作業安全性を改善し、化学物質の使用を低減し、そして有害廃棄物の発生を低減することによってグリーンである(環境に優しい)ことも引き続き重要視される。これらの課題を1つにまとめると、除去されるべき固有のポリマー又は残留物の性能ニーズに応じて変化する当該組成物を用いる一貫した普遍的な方法であって、すべて所有コストが軽減される高性能、高スループット、グリーン・プロセスをもたらす方法を提供することが差し迫って必要である。
本発明の第1の態様は、溶剤又は溶剤の混合物と、10.0%を上回る重量%の少なくとも1種のスルホン化ポリエステルとを含み、溶剤が、ジエチレングリコール、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノプロピルエーテル、及びこれらの混合物から成る群から選択される、無機基板から有機樹脂をクリーニングするための組成物に関する。
別の態様は、約0.5%〜約99.0の重量%の有機溶剤又は溶剤の混合物と、約0.5%〜約99.0の重量%の少なくとも1種の水溶性、水分散性、又は水散逸性のポリエステル(成分B)と、約0.01%〜約99.0の重量%の、クリーニング性能を増強する少なくとも1種の添加剤とを含む、無機基板から有機樹脂を除去するための組成物に関する。
さらに別の態様は、(a) i 約0.5%〜約99.0の重量%の有機溶剤又は溶剤の混合物と、ii 約0.5%〜約99.0の重量%の少なくとも1種の水溶性、水分散性、又は水散逸性のポリマー(成分B)とを含む組成物で前記有機樹脂を塗布する工程、(b) 有機樹脂の溶解を達成するのに十分な温度まで、そして十分な時間にわたって基板を加熱する工程、そして(c) 組成物及び有機樹脂を除去するのに十分な容量のリンス剤で、基板を濯ぐ工程を含む、無機基板から有機樹脂を除去する方法に関する。
本発明は、無機基板から、つまり金属、非金属、及び金属化された非金属基板から高分子有機物質を迅速且つ効果的に除去する剥離組成物及び剥離方法を提供する。剥離組成物は有機溶剤と、水溶性ポリマーと、必要に応じて種々の添加剤とを含み、電子装置製造における超小型回路を製作するためのベースを含む、熱可塑性及び熱硬化性の有機物質、及びこれらの残留物を効果的に除去する。添加剤は、剥離組成物のクリーニング性能を増強又は改善する。方法は、基板上に組成物を塗布し、有機物質の溶解を達成するのに十分な所与の時間にわたって特定の温度まで基板を加熱し、そして濯ぎによって副産物を除去することで完了する作業を定義する。組成物と方法とが協働することにより、コンベンショナルな剥離剤プロセスには通常見られない製造時の性能及び他の所望の目標を提供する。除去されるべき有機物質は、顧客のプロセスに曝露されると硬化されて、硬質且つ化学的に抵抗性のフレームワークになることがあるが、本発明は、許容し得る性能を維持することが見出された。
「水散逸性」又は「水分散性」という用語は本明細書中に使用される場合にはいつでも、モノマー(成分B)に対する水又は水溶液の活性を意味するものとする。この用語は具体的には、水又は水溶液が、モノマー材料をその中に且つ/又はそれを通して溶解及び/又は分散させる状況をカバーするものとする。
「剥離」、「除去」、及び「クリーニング」という用語は、本明細書全体を通して相互交換可能に使用される。同様に「剥離剤」、「除去剤」、及び「クリーニング組成物」も交換可能に使用される。「塗布」という用語は、膜を基板に、スプレー塗布、パドル塗布、スリット塗布、又は浸漬のように適用する方法として定義される。「膜」又は「塗膜」という用語は相互交換可能に使用される。不定冠詞「a」及び「an」は、単数形及び複数形の双方を含むものとする。すべての範囲は、このような数値範囲が合計100%になるように制約されることが明らかな場合を除いて、包括的であり、また任意の順序で組み合わせることができる。「wt%」という用語は、他に断りがなければ、剥離組成物の成分の総重量を基準とした重量パーセントを意味する。
本発明による方法は、本発明による組成物の浴内に無機基板を浸すか、又は好ましくは無機基板に組成物を塗膜として適用することを伴うことができる。一旦基板が組成物中に浸されるか、又は組成物が適用されて領域全体を覆うか又は塗布したら、基板の加熱が始まる。所望温度に達し、この温度が所望の時間にわたって保持されるまで、高速の加熱が生じる。或いは、基板が浸されている浴を所望温度で維持することもできる。リンス剤による濯ぎが行われ、続いて乾燥工程が実施される。作業方法全体は、3つの区別可能な工程、つまり塗布工程、加熱工程、及び濯ぎ工程を伴う。本明細書中で使用される「リンス剤」という用語は、剥離されるべき組成物及び材料を除去する任意の溶剤を含む。剥離剤の例は、水、アセトン、イソプロピルアルコール、及びこれらの混合物を含む。
本発明の1つの態様は、本発明の組成物が、除去されるべき物質と直接に接触した状態で、液体塗膜として適用されるような方法に関する。この方法は、ほぼ25℃〜約400℃、又は約100℃〜約250℃の任意の温度で加熱することを含む。温度は、有機物質の性質及び厚さに依存して変化することができる。加熱工程の処理時間は、約5秒間〜約10分間、約10秒間〜約8分間、又は約30秒間〜約4分間であることが可能である。さらに、処理時間全体は、<15秒間〜180秒間の範囲内で、いくつかの事例では5分間〜10分間の範囲内で変化することができる。時間は、除去されるべき材料、その厚さ、及び曝露条件に応じて変化することができる。例えば、PHost又はノボラック樹脂の場合、加熱工程は、約15秒間〜約1分間であってよい。しかし他のより高度に硬化された樹脂の場合、加熱工程は約2〜4分間又はそれよりも長く継続することができる。有機物質の拡散が完了したら、リンス剤、例えば蒸留水、脱イオン水、又は脱ミネラル水による濯ぎを行ってよい。
濯ぎは、組成物中の水溶性ポリマーの存在によって促進される。このポリマーは、無機基板から除去されるべき有機物質のためのキャリア系として性能を発揮する。濯ぎのために使用されるリンス剤は、約5℃〜約100℃の温度であることが可能である。しかし、濯ぎは室温で行い、そして2つの目的、すなわち、溶解された有機物質を除去する目的、及び次の段階の通常の工具取り扱いが始まるように基板の温度を低下させる目的を果たすことができる。
本発明において使用される当該組成物は、構造(I)R−CO21、構造(II)R2−CO224(OC24n−OR3、(III)R4−CO236(OC36n−OR5、及び(IV)R6OCO27のグリコールエーテルエステル、構造(V) R8OH、(VI)R9OC24(OC24nOH、(VII)R10OC36(OC36nOH、(VIII)R11(OC24nOH、及び(IX)R12(OC36nOH、から選択されたアルコール、構造(X)R13COR14から選択されたケトン、構造(XI)R15SOR16から選択されたスルホキシド、及びアミド、例えばN,N−ジメチルホルムアミド、N,N−ジメチルアセトアミド、及びN−メチルピロリドンから成る群から選択された1種又は2種以上のエステルを含む種類の溶剤系である主要成分を含む。式中、R,R1,R2,R3,R4,R5,R6,R7,R8,R9,R10,R11,R12,R13,R14,R15,及びR16は独立して、水素又はC1−C14アルキル基から選択され、そしてnは1〜10の反復単位を表す。さらに、好適な溶剤の一例としては、ケトン、例えばシクロヘキサノン、2−ヘプタノン、メチルプロピルケトン、及びメチルアミルケトン、エステル、例えばイソプロピルアセテート、エチルアセテート、ブチルアセテート、エチルプロピオネート、メチルプロピオネート、ガンマ−ブチロラクトン(BLO)、エチル2−ヒドロキシプロピオネート(エチルラクテート(EL))、エチル2−ヒドロキシ−2−メチルプロピオネート、エチルヒドロキシアセテート、エチル2−ヒドロキシ−3−メチルブタノエート、メチル3−メトキシプロピオネート、エチル3−メトキシプロピオネート、エチル3−エトキシプロピオネート、メチル3−エトキシプロピオネート、メチルピルベート、及びエチルピルベート、エーテル及びグリコールエーテル、例えばジイソプロピルエーテル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、及びプロピレングリコールモノメチルエーテル(PGME)、グリコールエーテルエステル、例えばエチレングリコールモノエチルエーテルアセテート、プロピレングリコールメチルエーテルアセテート(PGMEA)、及びプロピレングリコールプロピルエーテルアセテート、芳香族溶剤、例えばメチルベンゼン、ジメチルベンゼン、アニゾール、及びニトロベンゼン、アミド溶剤、例えばN,N−ジメチルアセトアミド(DMAC)、N,N−ジメチルホルムアミド、及びN−メチルホルムアニリド、及びピロリドン、例えばN−メチルピロリドン(NMP)、N−エチルピロリドン(NEP)、ジメチルピペリドン、2−ピロール、N−ピロール、N−ヒドロキシエチル−2−ピロリドン(HEP)、N−シクロヘキシル−2−ピロリドン(CHP)、及び硫黄含有溶剤、例えばジメチルスルホキシド、ジメチルスルホン、及びテトラメチレンスルホンが挙げられる。これらの有機溶剤は、個別に又は組み合わせて(すなわち他のものとの混合物として)使用してよいが、好ましい溶剤系は、ジエチレングリコール(DEG、Eastman Chemical Company)、ジエチレングリコールモノエチルエーテル(DE溶剤、Eastman Chemical Company)、及びジエチレングリコールモノプロピルエーテル(DP溶剤、Eastman Chemical Company)を含有することが望ましい。
組成物の1つの態様は、これらの前記溶剤のうちの1種又は2種以上を約0.5重量パーセント〜約99.5重量パーセントで含む。1つの態様において、溶剤は溶剤組成物中に、約40%〜約97%、又は約60%〜約90%の重量%で存在する。
組成物はまた、約0.5〜約99.5重量パーセントで存在する、水溶性、水分散性、水散逸性の特性を示すポリマーを含有している。このポリマーは、例えばアルコールエトキシレート、ビスフェノールエトキシレート、及びプロポキシレート、アルキルベンゼン塩、セルロースアセテートフタレート、アルコキシエチル及びヒドロキシプロピルのセルロース誘導体、エチレン及びプロピレンオキシドのコポリマー、樹枝状ポリエステル、エトキシル化アミン、エトキシル化アルコール塩、エチレンアクリル酸、ヒドロキシ−メタクリレート、フタレートエステル、ポリエチレングリコール、ポリエチレンイミン、ポリエチレンオキシド、ポリビニルアルコール、ポリビニルピロリジノン、澱粉、スチレンマレイン酸無水物、スルホン化アクリル、スルホン化ポリスチレン、線状又は分枝状の式のスルホポリエステル、又はロジン酸から誘導される。組成物は、これらのポリマーのうちの1種又は2種以上を約10.0重量パーセント〜約99.5重量パーセントで含む。1つの態様の場合、ポリマーは溶剤組成物中に、約12.0%〜約60.0%、又は約15.0%〜約30.0%の重量%で存在する。
1つの態様の場合、水溶性ポリマーは、それぞれの線状又は分枝状類の1種又は2種以上のスルホン化ポリエステル(スルホポリエステル)を含んでいる。スルホポリエステルは、
(i) 少なくとも1種のジカルボン酸のモノマー残基;
(ii) すべての、酸及びヒドロキシル同等物の合計を基準として約4〜25モルパーセントの、芳香環に結合された少なくとも1つの金属スルホン酸基を含有する少なくとも1種の二官能性スルホモノマーのモノマー残基(官能基はヒドロキシ又はカルボキシル又はアミノであり、そしてスルホン酸基の金属はNa、Li、K、Mg、Ca、Cu、Ni、Fe、及びこれらの混合物である);及び必要に応じて
(iii) 式−(OCH2CH2n−(nは2〜約500であり、但しこのような残基のモルパーセントは、nの値に対して反比例することを条件とする)を有する少なくとも1種のポリ(アルキレングリコール)のモノマー残基;及び
(iv) 最大約75モルパーセントの、少なくとも1種のジオールのモノマー残基(前記ジオールはポリ(アルキレングリコール)以外のものである)
から成る。
本発明において使用するのに適したスルホポリエステル・ポリマーは、Eastman AQ(登録商標)ポリマー及びEastman AQコポリエステルとして知られているポリマーである。一般に好適なポリマーは、ジメチル−5−ナトリウムスルホイソフタレート、及びその親の酸及び塩から調製されるようなポリマーであり、イソフタル酸、テレフタル酸、及びこれらのエステルのようなコモノマーから誘導されてよい。このような酸コモノマーと一緒に一般に使用されるジオールは、ジエチレングリコール、エチレングリコール、トリエチレングリコール、ポリエチレングリコール、プロピレングリコール、2−メチルプロパンジオール、ネオペンチルグリコール、及び1,6−ヘキサンジオールなどである。
ポリマーは、グリコール残基及びジカルボン酸残基を有するエーテル基及びスルホン酸基と、金属塩の形態で芳香核に結合されたスルホン酸基を含有する少なくとも1つの二官能性コモノマーとを含有する水溶性、水分散性、水散逸性のスルホポリエステル又はポリエステルアミド(本明細書中では以後まとめてスルホポリエステルと呼ぶ)から選択することができる。このようなポリマーは、当業者によく知られており、Eastman AQポリマーの商品名でEastman Chemical Companyから入手することができる。具体的には、このようなスルホポリエステルは、好ましくは約80℃未満の温度で水性分散体中に溶解、分散、又は散逸させることができる。このようなポリエステルは、米国特許第3,734,874号明細書により詳細に記載されている。この開示内容は参照することにより本明細書中に組み込まれる。当業者に明らかなように、本明細書中及び結末の請求項に使用される「残基」又は「成分」という用語は、特定の反応スキームにおける化学種の結果としての生成物、又はこれに続いて生じる配合生成物又は化学生成物である部分を、この部分が実際に化学種から得られるか否かとは無関係に意味する。このように、例えばポリエステル中のエチレングリコール残基は、エチレングリコールがポリエステルを調製するために使用されるか否かとは無関係に、ポリエステル中の1つ又は2つ以上の−OCH2CH2O−反復単位を意味する。
前述のポリエステル材料は、米国特許第3,734,874号、同第3,779,993号、同第3,828,010号、同第4,233,196号、同第5,006,598号、同第5,543,488号、同第5,552,511号、同第5,552,495号、同第5,571,876号、同第5,605,764号、同第5,709,940号、同第6,007,749号、及び同第6,162,890号の各明細書に記載されたポリエステル調製技術に従って調製され(これらの開示内容は参照することにより本明細書中に組み込まれる)、そして上記記載内容及び添付の請求項において「酸」という用語を使用する場合には、これは、上記特許明細書中で試みられた調製の際に使用されているような酸反応体の種々のエステル形成誘導体又は縮合性誘導体、例えばそのジメチルエステルを含む。スルホモノマーの例は、スルホン酸基が芳香核に結合されるもの、例えばベンゼン、ナフタレン、又はビフェニルなどであるか、又は核が脂環式であるもの、例えば1,4−シクロヘキサンジカルボン酸である。
組成物に加えられる添加剤は、約100ppm〜約99重量パーセントの有機又は無機起源のアルカリ又は酸を含んでよく、このアルカリ又は酸は、水酸化アンモニウム、第四水酸化物、例えばテトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、及びベンジルトリメチルアンモニウムヒドロキシド(BTMAH)、アミン、例えばトリエチレンテトラアミン、アルカノールアミン(モノエタノールアミン、モノイソプロパノールアミン、ジグリコールアミンを含む)、元素水酸化物、又はアルコキシド、例えば第三ブチル水酸化カリウム(KTB)、アルキルスルホン酸、例えばメタンスルホン酸(MSA)、トルエンスルホン酸(TSA)、及びドデシルベンゼンスルホン酸(DDBSA)、蟻酸、脂肪酸、硫酸、硝酸、又はリン酸を含む。添加剤は、約0.1重量パーセント〜約60重量パーセント、約1.0重量パーセント〜約50重量パーセント、又は約5重量パーセント〜約40重量パーセントの量で存在し得る。
1つの態様の場合、クリーニング組成物は、約0.5%〜約99.0%の重量%の有機溶剤又は溶剤の混合物と、約0.5%〜約99.0%の重量%の少なくとも1種のスルホン化ポリエステルと、約0.01%〜約99.0の重量%の、クリーニング性能を増強する少なくとも1種の添加剤とを含む。さらに、溶剤は、エチレングリコール、ジエチレングリコール、プロピレングリコール、ジエチレングリコールエチルエーテル、ジエチレングリコールメチルエーテル、ジエチレングリコールブチルエーテル、ジエチレングリコールプロピルエーテル、エチレングリコールプロピルエーテル、エチレングリコールブチルエーテル、及びこれらの混合物から成る群から選択される。
別の態様の場合、クリーニング組成物は、約30%〜約95%の重量%の溶剤、約3%〜約60%の重量%のポリマー、及び約2%〜約60%の重量%の添加剤を含む。
組成物は、基板組成物のための保護剤として作用する阻害剤を含んでいてもよい。阻害剤は、周知の種類のうちの1種又は2種以上を含むキレート剤、錯化剤、又は還元剤を含み、その一例としては、ベンジルヒドロキシド、例えばカテコール、トリアゾール、イミダゾ−ル、ボレート、ホスフェート、及びアルキル又は元素シリケート、エチレンジアミン四酢酸、ジエチレントリアミン五酢酸、ニトロ三酢酸、及び2,4−ペンタンジオン、還元糖、ヒドロキノン、グリオキサル、サリチルアルデヒド、脂肪酸、例えばクエン酸及びアスコルビン酸、ヒドロキシルアミン、又はバニリンが挙げられる。
本発明による組成物は、周知の種類のうちの1種又は2種以上を含む界面活性剤を含んでいてもよく、この一例として、非イオン性ノニル−フェノール及びノニル−エトキシレート、アルキルスルホネート、ホスフェートエステル、及びスクシネートを含むアニオン形態、及びフッ素化系が挙げられる。
基板と組成物との接触は、浴浸漬を介して、又は塗布作業を用いて行われる。マイクロ電子装置製造において、スピン塗布は、基板に塗膜を適用するために選択される方法である。しかしながら、FRD製造におけるような大型基板のためのスプレー・スピン塗布及びスリット塗布を含む他の方法も存在する。すべての事例において、目的は、完全被覆を達成するように組成物を適用することである。通常は、ほとんどの塗布用途が、高い均一度に関与している。本発明の方法の場合、最小厚は典型的には1000ミクロン(1μm=1×10-6m)の最小値オーダーで確立されるものとするが、しかし有機物質が極めて薄いいくつか事例では、組成物の厚さはより小さくなることがある。本発明の1つの態様において、塗膜は最大約800ミクロン厚、約200〜約600ミクロン厚、又は約300〜約400ミクロン厚であることが可能である。
本発明の方法のための組成物をスピン塗布するための共通の作業は、基板の中心に材料をディスペンシングし、そして低速の円運動スピード(すなわち1分当たりの回転数rpm<100)で装置を操作することである。液体供給は静的な方法によって行われてよく、この場合には流体は表面上に「パドリング」することになる。動的な方法を用いてもよく、この場合には基板がすでに運動している場合に材料がディスペンシングされる。新しいプロセス設定のための早期段階実施中に、廃棄物を最小限しか又は全く出さずに基板の完全被覆を保証するように、rpm及び時間の正確な条件を確立する必要がある。エッジのビード形成に関与する必要はない。それというのもこの状態はプロセスの目的とは無関係だからである。
スピン速度の操作は、マイクロ電子装置業界内で使用される数多くの装置の共通の焦点である。基板の回転はこれらの特性に直接の影響を及ぼし、異なる塗布結果をもたらすことになる。スピン速度が低いと、流体移動度は低くなり材料損失をわずかしか伴わないものの、基板の被覆の不規則性が生じることもある。或いは、高いスピン速度は、高い移動度及び高い材料損失をもたらすことになる。スピン塗布は業界内では標準的な作業であるが、スプレー塗布作業によって、許容できる厚さ均一性を有する厚い塗膜を達成できることが経験により明らかである。一旦塗布が完了したら、プロセスの熱活性化がすぐに始まってよい。
熱はいくつかの経路を介して加えられてよい。手動操作の場合、シンプルなホットプレートを使用してよい。これは基板が一方の場所から別の場所へ運動するのを必要とする。自動化が重要な状況では、ウエハーは定置のままにして、ベースチャック又はオーバヘッド対流源を使用して熱を加えることができる。制御及びスループットに対する正確な人間工学的及びロジスティックな関与は、工具設計の当業者によって容易に決定することができる。ひとたび加熱レジームに従えば、組成物及び有機樹脂は、攪拌浴内で又は直接な噴霧接触によって、リンス剤で濯ぐことにより除去することができる。
本発明の剥離組成物は、非晶質有機物質、例えばPHost又はノボラック種類のポジティブトーンフォトレジスト上に使用する場合には、溶解環境を維持することによって機能する。このような事例において、そして曝露条件が最大150℃の中程度の温度を含む場合には、溶剤系と水溶性ポリマーとを含む最小限の成分を含有する組成物が、本発明の方法の条件下で塗布され処理される。十分な温度まで加熱すると、急速な溶解が発生し、そしてフォトレジストの組成物中への拡散が急速に進み完了に至る。高度にベーキングされた(すなわち>150℃)フォトレジストに関して良好な結果を容易に出すために、アルカリ剤、阻害剤、及び界面活性剤のような添加剤を使用してよい。剥離組成物中に含有される添加剤を使用する上での利点は、架橋されたフォトレジストを鹸化することによって溶解速度が改善される一方、阻害剤が剥離工程及び濯ぎ工程中に、曝露された金属を保護することを含む。
有機アルカノールアミン化合物が、ポジティブトーンフォトレジストのアルカリ鹸化及び乳化のために好ましく、その一例としては、1つ又は2つ以上の低分子量の候補、例えばモノエタノールアミン(MEA),モノイソプロパノールアミン(MIPA)、又はジグリコールアミン(DGA)、及びこれらの組み合わせが挙げられる。ネガティブトーンフォトレジスト又は硬化された熱硬化性ポリイミドが除去されるべき候補である場合、組成物は強アルカリ、つまり第四水酸化物、金属水酸化物、又はアルコキシドを必要とする。
ポジ型及びネガ型アクリル、並びにポリイミドから成る塗膜及び残留物を除去することに関してここに挙げた概説と同様に、組成物はまたネガ型イソプレン(ゴム)レジスト及びネガ型エポキシ(SU-8(登録商標))フォトレジストを除去することにも適用される。我々がポジ型フォトレジスト及びネガ型アクリル及びポリイミドに関して判断したように、組成物の選択肢は、除去するべき材料に依存する。ネガ型イソプレンの場合、化学特性は疎水性(非極性)であり、架橋ゴム系は、アルカリに対しては反応せず、酸に対してのみ反応する。ゴム・フォトレジストは、芳香族溶剤、及び疎水性酸、例えばドデシルベンゼンスルホン酸を必要とする。ネガ型エポキシ・フォトレストの場合、化学特性は親水性(極性)であり、ゴム・フォトレジストと同様であり、これらの系はアルカリに対しては反応しない。好ましい系は、親水性酸、例えばメタンスルホン酸(MSA)又は硫酸を内蔵する系である。これらの系はすべて、フォトレジストの溶解に続いて適正な濯ぎを容易にするために、すべて水溶性ポリマーを含有する。
下記例において本発明をさらに説明する。記載されたすべてのパーセンテージは、特に他に特定しない限り重量パーセントである。
本発明を以下の例によって、限定することなしにさらに説明する。最初の3つの例において、業界によって容易に受け入れられる作業を用いて、本発明の性能及び選択性を測定する。このような場合、光学顕微鏡によって、そして必要な場合には、金属基板上の高感度重量測定検査によるエッチング速度測定を利用して測定を行い、そして必要な場合には、走査電子顕微鏡(SEM)を使用して、より詳細な研究を行った。以下の例では、有機物質がその上に適用され硬化された無機基板として、シリコンウエハーを使用した。下記表1に記載された項目は、除去されるべき有機物質、これらの調製方法、及びこれらの調達源を表す。
Figure 2012522264
適切な場合には、Brewer Science, Inc. CB-100塗布装置、及び無機基板にポリマー材料の液体形態を適用するための下記標準的プロトコルを利用して、有機物質を塗膜状に適用する。材料を塗布したら、これを100℃の短時間60秒ホットプレート・ベーキングのための軟質ベーキング工程に送る。ネガ型アクリル・レジストの場合、365nmで発光する0.12W/cm2・secの高線量の紫外線に、30分間を超える時間にわたって材料を暴露する。露光に続いて、所定の硬質ベーキング温度、及びレジストに依存する時間で、ウエハーを露光後ベーキングした。ウエハー試料が調製されたら、これらを試験のためにステージングした。同じウエハー及び取り扱い作業を用いて、例2〜6の試験をすべて互いに同一に行う。本発明を実証する作業ステーションでそれぞれウエハーをステージングする。組成物を予め調製し、これも取っておく。ウエハー表面の一部に当該組成物を適用することによって、本発明の方法を試験する。次いでウエハーを、所望の処理温度に予め設定したホットプレートにすぐに移す。ウエハーをホットプレート上に置いたら、デジタル・タイマーを開始させる。予め定めた60秒が過ぎたら、ウエハーを取り出し、洗浄ボトルからの蒸留水、脱イオン水、又は脱ミネラル水ですぐに濯ぐ。濯ぎ済みのウエハーを観察し、乾くまで置いておく。さらなる観察を行い、そして結果を記録する。
例1
すべての例において、予め形成された原液を添加することにより、スルホポリエステルを導入した。これらの原液は、親水性溶剤(成分A)と、水溶性、水分散性、又は水散逸性のポリマー(成分B)とから成った。選択されたポリマーは、線状及び分枝状類双方の、ガラス転移温度及び粘度が異なる種々のスルホポリエステルであった。このようなポリマーは、当業者によく知られており、Eastman AQポリマーの商品名でEastman Chemical Companyから入手可能である。具体的には、このようなスルホポリエステルは、好ましくは約80℃未満の温度で水性分散体中に溶解、分散、又は散逸させることができる。このようなポリエステルは、米国特許第3,734,874号明細書により詳細に記載されている。この開示内容は参照することにより本明細書中に組み込まれる。本発明の候補として考えられるポリエステルの一例としては、Eastman AQ 38S Polymer, Eastman AQ 48 Ultra Polymer, Eastman AQ 55S Polymer, EastONE S85030 Copolyester, Eastman ES-100 Water-Dispersible Polymer, Eastman AQ 1350 Copolymer, 及びEastman AQ 2350 Copolymerが挙げられる。選択された溶剤は、エチレングリコール、ジエチレングリコール、トリエチレングリコール、プロピレングリコール、ジエチレングリコールメチルエーテル(Eastman DM Solvent)、ジエチレングリコールエチルエーテル(Eastman DE Solvent)、ジエチレングリコールプロピルエーテル(Eastman DP Solvent)、ジエチレングリコールブチルエーテル(Eastman DB Solvent)、エチレングリコールプロピルエーテル(Eastman EP Solvent)、及びエチレングリコールブチルエーテル(Eastman EB Solvent)であった。スクリーニング研究において、10、20、及び30wt%固形分のポリマー・溶剤対のそれぞれに対して溶液を試みた。加えて、それぞれ40wt%固形分のEastman AQ 38S Polymer、及びEastman AQ 48 Ultra Polymerの溶液を、4種のジエチレングリコールエーテル溶剤中で試みた。攪拌機、凝縮器、及び窒素源が接続された丸底フラスコに溶剤を添加することによって、これらの原液を調製した。次いで適量の固形スルホポリエステルを添加し、そして溶液が得られるまで、混合物を攪拌しながら加熱した。ポリマー・溶剤対、及び固形物ローディング量に応じて、30分間90℃〜70分間180℃の範囲で、種々様々な時間にわたって異なる温度で溶液を加熱した。下記表2はこれらの原液をまとめている。「好適な溶液」とは、調製条件下で容易に溶解されたポリエステルが、冷却した場合に可溶性のままであったものであり、この溶液は塗膜を形成するのに適している。「悪い溶液」とは、固形分が調製条件下で溶剤中に不溶性であるか、又は形成された溶液が短時間で不安定になるものである。「不適格溶液」とは、同じポリマーと溶剤との対の溶液が、より低い固形物ローディング量で悪い溶液を予め形成したものである。「疑わしい溶液」とは、形成された溶液が極度に高粘度であるか、又は潜在的な長期不安定性の兆候を示すが、しかしさらに研究すれば価値があるかもしれないものである。
Figure 2012522264
このスクリーニング研究に基づいて、両事例において150℃で15分間にわたって硬化しておいた、PHost塗布されたウエハー及びノボラック塗布されたウエハーの両方において、82種の好適な溶液及び疑わしい溶液を試験した。それぞれの事例において、樹脂塗布されたウエハーに少量の溶液を適用し、ウエハーを100℃まで60秒間にわたってすぐに加熱し、次いですぐに周囲温度の水流で洗浄した。単純な視覚的観察を用いて、樹脂除去の完成度を評価した。視覚的な試験によって優れたクリーニング性能を呈すると判断されたブレンドだけを合格と見なした。表3は結果をまとめている。
Figure 2012522264
Figure 2012522264
固形物ローディング率が10wt%から40wt%までのジエチレングリコールエチルエーテルとEastman AQ 48 Ultra Polymerとを含む組成物は、PHost及びノボラックの両方のフォトレジスト樹脂をシリコン基板からクリーニングする幅広い性能を呈することが判った。加えて、これらの溶液の種々の濃度は、室温での数ヶ月にわたる貯蔵後でさえ極端に安定であった。
従って、フォトレジストを除去するのがより難しい、より新種のものをターゲットするために開発中の添加剤ブレンド中に使用されるのに適した標準組成物として、20wt%のEastman AQ 48及び80ジエチレングリコールエチルエーテル(Eastman DE Solvent)を含む組成物を選択した。この原液は、例2〜6におけるウエハーを処理するために使用された最終溶液の30%を占め、これらの溶液のすべてにおいて6wt%のスルホポリエステルと24wt%のDE溶剤とをもたらした。下記例2〜6では、有機残留物の除去に適した本発明による組成物の開発に当業者がどのようにアプローチし得るかを実証する。さらなる研究のための標準的な組成物の選択も、以下のさらなる具体例も、本発明の範囲を限定するものではない。
スルホン化ポリエステルを含有する多くの組成物の全体的な成功とは対照的に、他の水溶性、水分散性、又は水散逸性ポリマーを含有する組成物はほぼ同様に機能を発揮することはなかった。一般に、これらの他のポリマーは、選択された溶剤中の溶解度が遙かに低かった。溶液を得るためのプロセス条件はここでもまた、ポリマー・溶剤対及び固形物ローディング量に応じて変化した。ほとんどの事例の場合、30分間120℃〜80分間180℃の範囲の温度まで溶液を加熱したが、しかしポリビニルピロリドン及び樹枝状ポリエステルは両方とも、必要とする加熱が遙かに少ない、顕著な例外であった。溶解度研究の結果を下記表に示す。
Figure 2012522264
調製された好適なブレンド及び疑わしいブレンドから、150℃で15分間にわたって硬化しておいた、PHostフォトレジスト及びノボラック・フォトレジストの両方において、49種の選択肢を試験した。両事例において、樹脂塗布されたウエハーに少量のそれぞれの組成物を適用し、100℃まで60秒間にわたって加熱し、次いですぐに周囲温度の脱イオン化水で濯ぎ落とした。続いて、単純な視覚的検査を行い、そして優れたクリーニング性能を有すると判断された組成物だけを合格と見なした。多くの事例において、10wt%固形分ブレンドだけを試験したが、しかし最大40wt%のポリビニルピロリドン固形分を有する溶液も試験した。なぜならばこの特定のポリマーはいずれの被験溶剤中に可溶性であるからである。キシレンナトリウムスルホネートを除けば、結果はほとんど全体的にネガティブであり、さらなる試験は不要と見なされた。性能スクリーニングの結果を下にまとめる。
Figure 2012522264
Figure 2012522264
PHostフォトレジスト及びノボラック・フォトレジストの両方をクリーニングする上での成功を示したのは極めてわずかな溶液であり、このように成功したいくつかの組成物は、本発明における使用には理想的ではない、疑わしい溶液であった。比較すると、スルホン化ポリエステルを含有する多くの組成物は、PHost及びノボラックのフォトレジストを溶解させる極めて良好な性能を示した。スルホン化ポリエステルを含有する組成物は、考えられるその他のポリマーのうちのいずれを含有するものよりも著しく好ましく、そしてスルホン化ポリエステルを含有するものから単一の組成物を、将来の試験のために選んだ。
例2
表4は、表1に記載されているように塗布されたPHost樹脂に対して行われたクリーニング研究からの結果を含む。15分間にわたって200℃で樹脂を硬化した。すべてのクリーニング組成物は6wt%のスルホポリエステルと、24wt%のDE溶剤とから成り、残りの70wt%は、表6に示された2種の添加剤から成った。クリーニング段階のためのプロセス温度は100℃、150℃、及び200℃であった。
Figure 2012522264
表4のデータは、200℃の高い曝露温度でも、大抵の溶液がPHost樹脂を溶解して除去する際に良好に機能することを示唆している。より高い濃度の酸又は塩基添加剤で富化された溶液は、改善された結果を示した。両富化レベルで、MEAを含有する溶液は、高い温度で硬化されたPHostを良好に除去する際に良好な機能を発揮することはなかった。ここでの主要な結論は、PHostが、本発明の方法及び組成物を用いると60秒目において比較的処理しやすいことである。
例3
表5は、表1に記載されているように塗布されたノボラック樹脂に対して行われたクリーニング研究からの結果を含む。15分間にわたって200℃で樹脂を硬化させた。すべてのクリーニング組成物は6wt%のスルホポリエステルと、24wt%のDE溶剤とから成り、残りの70wt%は、表7に示された2種の添加剤から成った。クリーニング段階のためのプロセス温度は100℃、150℃、及び200℃であった。
Figure 2012522264
Figure 2012522264
表5は、大抵の添加剤の組み合わせが、シリカ基板から硬化されたノボラック樹脂をクリーニングするのに適しているが、但し、200℃でクリーニングすると多少の困難に直面することを示唆している。酸性溶液は、特に高度に硬化されたノボラック樹脂に対して望ましい結果をもたらさず、リン酸含有組成物はほぼどの試みでも失敗した。
例4
表6は、表1に記載されているように塗布されたアクリル樹脂に対して行われたクリーニング研究からの結果を含む。15分間にわたって150℃で樹脂を硬化した。すべてのクリーニング組成物は6wt%のスルホポリエステルと、24wt%のDE溶剤とから成り、残りの70wt%は、表8に示された2種の添加剤から成った。クリーニング段階のためのプロセス温度は100℃、150℃、及び200℃であった。結果を下記表に示す。
Figure 2012522264
表6は、硬化されたアクリル樹脂がPHOST又はノボラック樹脂よりもクリーニングが難しいことを示唆している。強塩基材料、例えばTMAH、MEA、又はKTBを添加剤成分中に使用する時のみ、低温でクリーニングされたウエハーに対して望ましい結果をもたらした。
例5
表7は、表1に記載されているように塗布されたポリイミド樹脂に対して行われたクリーニング研究からの結果を含む。軟質ベーキング後、ウエハーを15分間にわたって200℃で硬化し、続いてさらに30分間にわたって350℃で硬化した。すべてのクリーニング組成物は6wt%のスルホポリエステルと、24wt%のDE溶剤とから成り、残りの70wt%は、表9に示された3種の添加剤から成った。クリーニング段階のためのプロセス温度は100℃、150℃、及び200℃であった。結果を下記表に示す。
Figure 2012522264
Figure 2012522264
表7は、プロセス温度が高ければ高いほど、無機基板からポリイミド樹脂をクリーニングするための最良の結果をもたらすことを示唆している。100℃のプロセス温度で観察される良好な結果は事実上なかった。加えて、ポリイミド除去は、pKaが12以上である強アルカリ成分を必要とした。MEAが組成物中に唯一のアルカリとして存在するすべての事例において、クリーニング結果は受け入れられるものではなかった。KTB又はTMAHの存在は良好な結果を促進した。
例6
表8は、表1に記載されているように塗布されたイソプレン樹脂に対して行われたクリーニング研究からの結果を含む。ウエハーを15分間にわたって150℃で硬化した。すべてのクリーニング組成物は6wt%のスルホポリエステルと、24wt%のDE溶剤とから成り、68wt%は、表7に示された2種の添加剤から成り、そして2wt%は界面活性剤、例えばZelec(登録商標)UN (アルコキシホスフェートエステル界面活性剤)から成る。クリーニング段階のためのプロセス温度は100℃、150℃、及び200℃であった。
Figure 2012522264
表10に示されたクリーニング組成物は、クリーニング組成物が樹脂中に浸透するのを可能にするために、著しく疎水性(炭化水素)であるように設計された。ここに示された組成物は、適正な性能をもたらすために必要な重要な条件を示している。無機基板からゴム様イソプレン・フォトレジストを60秒で十分に除去するために、温度を高くすることが必要であることが判った。
好ましい態様を具体的に参照しながら本発明を詳細に説明してきたが、本発明の思想及び範囲の中で変更及び改変を加え得ることは明らかである。

Claims (15)

  1. 溶剤又は溶剤の混合物と、
    10.0%を上回る重量%の少なくとも1種のスルホン化ポリエステルと
    を含み、
    該溶剤が、エチレングリコール、ジエチレングリコール、プロピレングリコール、ジエチレングリコールエチルエーテル、ジエチレングリコールメチルエーテル、ジエチレングリコールブチルエーテル、ジエチレングリコールプロピルエーテル、エチレングリコールプロピルエーテル、エチレングリコールブチルエーテル、及びこれらの混合物から成る群から選択される、
    無機基板から有機樹脂をクリーニングするための組成物。
  2. 該溶剤が約40%〜約97%の重量%で存在する、請求項1に記載の組成物。
  3. 約0.5%〜約99.0の重量%の有機溶剤又は溶剤の混合物と、
    約0.5%〜約99.0の重量%の少なくとも1種のスルホン化ポリエステルと、
    約0.01%〜約99.0の重量%の、クリーニング性能を増強する少なくとも1種の添加剤と
    を含み、
    該溶剤が、エチレングリコール、ジエチレングリコール、プロピレングリコール、ジエチレングリコールエチルエーテル、ジエチレングリコールメチルエーテル、ジエチレングリコールブチルエーテル、ジエチレングリコールプロピルエーテル、エチレングリコールプロピルエーテル、エチレングリコールブチルエーテル、及びこれらの混合物から成る群から選択される、
    無機基板から有機樹脂を除去するための組成物。
  4. 該溶剤が約30%〜約95%の重量%で存在し、該ポリマーが約3%〜約60%の重量%で存在し、そして該添加剤が約2%〜約60%の重量%で存在する、請求項3に記載の組成物。
  5. 該添加剤が、水酸化アンモニウム、水酸化第四アンモニウム、アミン、アルカノールアミン、元素水酸化物、元素アルコキシド、メタンスルホン酸、p−トルエンスルホン酸、ドデシルベンゼンスルホン酸、蟻酸、硫酸、硝酸、リン酸、又はこれらの混合物から成る群から選択される、請求項3に記載の組成物。
  6. (a) i 約0.5%〜約99.0の重量%の有機溶剤又は有機溶剤の混合物と、
    ii 約0.5%〜約99.0の重量%の少なくとも1種の水溶性、水分散性、又は水散逸性のポリマーと
    を含む組成物で前記有機樹脂を塗布する工程、
    (b) 該有機樹脂の溶解を達成するのに十分な温度まで、そして十分な時間にわたって該基板を加熱する工程、そして
    (c) 該組成物及び該有機樹脂を除去するのに十分な容量のリンス剤で、該基板を濯ぐ工程
    を含む、無機基板から有機樹脂を除去する方法。
  7. 該組成物がさらに、約0.01%〜約99.0の重量%の、クリーニング性能を増強する少なくとも1種の添加剤を含む、請求項6に記載の方法。
  8. 該溶剤が約30%〜約95%の重量%で存在し、該ポリマーが約3%〜約60%の重量%で存在し、そして該添加剤が約2%〜約60%の重量%で存在する、請求項6に記載の方法。
  9. 該溶剤が、エチレングリコール、ジエチレングリコール、プロピレングリコール、ジエチレングリコールエチルエーテル、ジエチレングリコールメチルエーテル、ジエチレングリコールブチルエーテル、ジエチレングリコールプロピルエーテル、エチレングリコールプロピルエーテル、エチレングリコールブチルエーテル、及びこれらの混合物から成る群から選択される、請求項6に記載の方法。
  10. 該ポリマーが、アルコールエトキシレート、ビスフェノールエトキシレート、ビスフェノールプロポキシレート、アルキルベンゼンスルホン酸塩、セルロースアセテートフタレート、アルコキシエチルのセルロース誘導体、ヒドロキシプロピルのセルロース誘導体、エチレンのコポリマー、プロピレンオキシドのコポリマー、樹枝状ポリエステル、エトキシル化アミン、エトキシル化アルコール塩、エチレンアクリル酸、ヒドロキシ−メタクリレート、ホスフェートエステル、ポリエチレングリコール、ポリエチレンイミン、ポリエチレンオキシド、ポリビニルアルコール、ポリビニルピロリジノン、澱粉、スチレンマレイン酸無水物、スルホン化アクリル、スルホン化ポリスチレン、スルホポリエステル、ロジン酸、及びこれらの混合物から成る群から選択される、請求項6に記載の方法。
  11. 該添加剤が、水酸化アンモニウム、水酸化第四アンモニウム、アミン、アルカノールアミン、元素水酸化物、元素アルコキシド、メタンスルホン酸、p−トルエンスルホン酸、ドデシルベンゼンスルホン酸、蟻酸、硫酸、硝酸、リン酸、又はこれらの混合物から成る群から選択される、請求項6に記載の方法。
  12. 該基板が、約25℃〜約400℃の温度まで加熱される、請求項6に記載の方法。
  13. 該無機基板が半導体ウエハー、フラットパネルディスプレイ、又はプリント回路板を含む、請求項6に記載の方法。
  14. 前記塗布が、スプレー塗布、スピン塗布、又はスリット塗布を含む、請求項6に記載の方法。
  15. 該リンス剤が、水、アセトン、イソプロピルアルコール、又はこれらの混合物である、請求項6に記載の方法。
JP2012501991A 2009-03-27 2010-03-15 有機物質を除去するための組成物及び方法 Pending JP2012522264A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/413,085 2009-03-27
US12/413,085 US8444768B2 (en) 2009-03-27 2009-03-27 Compositions and methods for removing organic substances
PCT/US2010/000776 WO2010110848A1 (en) 2009-03-27 2010-03-15 Compositions and methods for removing organic substances

Publications (2)

Publication Number Publication Date
JP2012522264A true JP2012522264A (ja) 2012-09-20
JP2012522264A5 JP2012522264A5 (ja) 2013-04-18

Family

ID=42173237

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012501991A Pending JP2012522264A (ja) 2009-03-27 2010-03-15 有機物質を除去するための組成物及び方法

Country Status (8)

Country Link
US (3) US8444768B2 (ja)
EP (1) EP2411874A1 (ja)
JP (1) JP2012522264A (ja)
KR (1) KR20110137818A (ja)
CN (1) CN102449554A (ja)
SG (1) SG174416A1 (ja)
TW (1) TW201039386A (ja)
WO (1) WO2010110848A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012522068A (ja) * 2009-03-27 2012-09-20 イーストマン ケミカル カンパニー 有機物質の除去のための組成物および方法
WO2015083636A1 (ja) * 2013-12-03 2015-06-11 Jsr株式会社 洗浄液、半導体基板洗浄方法、および金属パターン形成方法
JP2018511079A (ja) * 2015-03-12 2018-04-19 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 低pka駆動ポリマーストリップ中の電荷錯体銅保護を促進する組成物および方法
JPWO2018062053A1 (ja) * 2016-09-30 2019-09-19 東京応化工業株式会社 洗浄組成物、洗浄方法、及び半導体の製造方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
WO2014160962A2 (en) 2013-03-29 2014-10-02 Life Technologies Corporation Method for treating a semiconductor device
JP5476388B2 (ja) * 2008-10-09 2014-04-23 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 酸化銅エッチ残渣除去および、銅電着の防止のための水性の酸性洗浄用組成物
KR101579846B1 (ko) * 2008-12-24 2015-12-24 주식회사 이엔에프테크놀로지 포토레지스트 패턴 제거용 조성물 및 이를 이용한 금속 패턴의 형성 방법
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) * 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
JP5624753B2 (ja) * 2009-03-31 2014-11-12 東京応化工業株式会社 リソグラフィー用洗浄液及びこれを用いたレジストパターンの形成方法
KR101799602B1 (ko) * 2009-05-07 2017-11-20 바스프 에스이 레지스트 스트리핑 조성물 및 전기 소자의 제조 방법
US8449681B2 (en) * 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
JP5817139B2 (ja) 2011-02-18 2015-11-18 富士通株式会社 化合物半導体装置の製造方法及び洗浄剤
CN102672297B (zh) * 2011-03-11 2015-03-04 华晴国际有限公司 应用于表面粘着锡膏印刷装置的水基溶液自动清洗方法
FR2976290B1 (fr) * 2011-06-09 2014-08-15 Jerome Daviot Composition de solutions et conditions d'utilisation permettant le retrait et la dissolution complete de resines photo-lithographiques
US8957007B2 (en) * 2011-08-17 2015-02-17 John Cleaon Moorre Aluminum safe compositions for removing cured polysulfide resins
US9335206B2 (en) * 2012-08-30 2016-05-10 Kla-Tencor Corporation Wave front aberration metrology of optics of EUV mask inspection system
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US20140137899A1 (en) * 2012-11-21 2014-05-22 Dynaloy, Llc Process for removing substances from substrates
US9158202B2 (en) * 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
CN103257534B (zh) * 2013-05-02 2015-07-15 上海华力微电子有限公司 光刻返工去胶工艺
CN103286091B (zh) * 2013-06-09 2017-09-19 京东方科技集团股份有限公司 一种基板的清洗方法
US9784072B2 (en) 2013-08-30 2017-10-10 Halliburton Energy Services, Inc. Removing cured resins from subterranean formations and completions
WO2015069288A1 (en) * 2013-11-11 2015-05-14 Halliburton Energy Services, Inc. Removing resin coatings from surfaces
US9365809B1 (en) 2014-03-12 2016-06-14 710-Cleaner, Llc Cleaning solution for smoking paraphernalia and method therefor
EP3143117B1 (en) * 2014-05-13 2019-09-04 Basf Se Tin pull-back and cleaning composition
KR101554103B1 (ko) * 2014-06-10 2015-09-17 동우 화인켐 주식회사 레지스트 도포성 개선용 및 제거용 신너 조성물
TWI546376B (zh) * 2014-08-25 2016-08-21 柯伊珊 晶圓處理液及使用其移除並平坦晶圓邊緣塗佈薄膜及平坦化光阻表面的設備和方法
US9548199B2 (en) * 2014-09-09 2017-01-17 Texas Instruments Incorporated Method of forming a thin film that eliminates air bubbles
KR102296739B1 (ko) 2014-10-27 2021-09-01 삼성전자 주식회사 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법
US10072237B2 (en) * 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
WO2017023348A1 (en) * 2015-08-06 2017-02-09 Kyzen Corporation Water tolerant solutions and process to remove polymeric soils and clean micro electronic substrates
EP3424074B1 (en) 2016-07-01 2021-10-27 Carbon, Inc. Method and system for spin-coating multi-layer thin films having liquid conservation features
CN106154772A (zh) * 2016-08-01 2016-11-23 江阴润玛电子材料股份有限公司 一种半导体凸块制程用正胶去胶液
KR102305256B1 (ko) * 2016-09-21 2021-09-29 가부시키가이샤 후지미인코퍼레이티드 표면 처리 조성물
KR20180069185A (ko) * 2016-12-14 2018-06-25 삼성전자주식회사 기판 가공 방법 및 접착층 세정 조성물
CN106773562A (zh) * 2016-12-23 2017-05-31 昆山艾森半导体材料有限公司 一种去除az光刻胶的去胶液
JP6899220B2 (ja) * 2017-01-11 2021-07-07 株式会社ダイセル レジスト除去用組成物
CN107085357A (zh) * 2017-06-23 2017-08-22 昆山欣谷微电子材料有限公司 一种光刻胶剥离液
US10948826B2 (en) * 2018-03-07 2021-03-16 Versum Materials Us, Llc Photoresist stripper
CN110161812A (zh) * 2019-06-06 2019-08-23 成都中电熊猫显示科技有限公司 重工药液及其制备方法、重工装置
CN115461215A (zh) * 2020-05-11 2022-12-09 克里奥瓦克公司 具有可溶性粘结层的多层膜和用于消散的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63168651A (ja) * 1987-01-06 1988-07-12 Asahi Chem Ind Co Ltd フオトレジストの剥離剤組成物
JPH07295239A (ja) * 1994-04-26 1995-11-10 Nec Corp 剥離液組成物および剥離洗浄方法
JP2002520659A (ja) * 1998-07-10 2002-07-09 クラリアント・インターナシヨナル・リミテッド フォトレジストおよび有機物質を基体表面から取り除くための組成物

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH225144A (de) 1941-10-27 1943-01-15 Chem Ind Basel Reinigungsmittel.
US3582401A (en) 1967-11-15 1971-06-01 Mallinckrodt Chemical Works Photosensitive resist remover compositions and methods
US3779993A (en) 1970-02-27 1973-12-18 Eastman Kodak Co Polyesters and polyesteramides containing ether groups and sulfonate groups in the form of a metallic salt
US3734874A (en) 1970-02-27 1973-05-22 Eastman Kodak Co Polyesters and polyesteramides containing ether groups and sulfonate groups in the form of a metallic salt
US3724874A (en) * 1971-07-30 1973-04-03 G Simpson Vehicle drawbar assembly
US3828010A (en) 1973-06-04 1974-08-06 Eastman Kodak Co Water-dissipatable polyesteramides
US4169068A (en) 1976-08-20 1979-09-25 Japan Synthetic Rubber Company Limited Stripping liquor composition for removing photoresists comprising hydrogen peroxide
US4231804A (en) 1978-01-17 1980-11-04 Petterson Robert C Vapor stripping method
US4233196A (en) 1979-04-30 1980-11-11 Eastman Kodak Company Polyester and polyesteramide compositions
US4861512A (en) 1984-12-21 1989-08-29 The Procter & Gamble Company Sulfonated block polyesters useful as soil release agents in detergent compositions
US4744834A (en) 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
IE59971B1 (en) 1986-11-10 1994-05-04 Baker J T Inc Stripping compositions and their use for stripping resists from substrates
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
DE3765773D1 (de) 1986-12-24 1990-11-29 Rhone Poulenc Chimie Latex gegen wiederverschmutzung beim waschen von textilien.
WO1990000579A1 (en) 1988-07-15 1990-01-25 Advanced Chemical Systems International Corporation Stripping composition using n-cyclohexyl-2-pyrrolidone
DE3902748A1 (de) 1989-01-31 1990-08-02 Hoechst Ag Entschichtungsloesung fuer elektrophotographisch bebilderte platten und verfahren zum entschichten solcher platten
US5182043A (en) 1989-10-31 1993-01-26 The Procter & Gamble Company Sulfobenzoyl end-capped ester oligomers useful as soil release agents in granular detergent compositions
DE4001415A1 (de) 1990-01-19 1991-07-25 Basf Ag Polyester, die nichtionische tenside einkondensiert enthalten, ihre herstellung und ihre verwendung in waschmitteln
US5006598A (en) 1990-04-24 1991-04-09 Eastman Kodak Company Water-dispersible polyesters imparting improved water resistance properties to inks
US5091103A (en) 1990-05-01 1992-02-25 Alicia Dean Photoresist stripper
US6110881A (en) 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5496491A (en) 1991-01-25 1996-03-05 Ashland Oil Company Organic stripping composition
US5431847A (en) 1991-07-17 1995-07-11 Charles B. Barris Aqueous cleaning concentrates
US5218042A (en) 1991-09-25 1993-06-08 Thauming Kuo Water-dispersible polyester resins and process for their preparation
US5268260A (en) 1991-10-22 1993-12-07 International Business Machines Corporation Photoresist develop and strip solvent compositions and method for their use
FR2682956B1 (fr) 1991-10-29 1994-01-07 Rhone Poulenc Chimie Procede de preparation de polyesters hydrosolubles et/ou hydrodispersables et utilisation de ces polyesters pour l'encollage de fils textiles.
DE4222012A1 (de) 1991-12-21 1993-06-24 Hoechst Ag Waessrige fuellerzusammensetzung
US6825156B2 (en) 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US5411596A (en) 1993-04-01 1995-05-02 Deluxe Corporation Oil based composition clean up method and composition for use therein
US5415807A (en) 1993-07-08 1995-05-16 The Procter & Gamble Company Sulfonated poly-ethoxy/propoxy end-capped ester oligomers suitable as soil release agents in detergent compositions
US5843878A (en) 1993-07-08 1998-12-01 Procter & Gamble Company Detergent compositions comprising soil release agents
US5710119A (en) 1993-07-23 1998-01-20 The Procter & Gamble Company Detergent compositions inhibiting dye transfer comprising copolymers of N-vinylimidazole and N-vinylpyrrolidone
DE4332170A1 (de) 1993-09-22 1995-03-23 Hoechst Ag Polyestersalze und ihre Verwendung als Ladungssteuermittel
US6187729B1 (en) 1993-12-14 2001-02-13 Petroferm Inc. Cleaning composition comprising solvating agent and rinsing agent
US5543488A (en) 1994-07-29 1996-08-06 Eastman Chemical Company Water-dispersible adhesive composition and process
KR970700743A (ko) 1993-12-29 1997-02-12 해리 제이. 그윈넬 수분산성 접착제 조성물 및 방법(water-dispersible adhesive composition and process)
FR2720400B1 (fr) 1994-05-30 1996-06-28 Rhone Poulenc Chimie Nouveaux polyesters sulfones et leur utilisation comme agent anti-salissure dans les compositions détergentes, de rinçage, d'adoucissage et de traitement des textiles.
WO1995031528A1 (en) 1994-05-13 1995-11-23 Unilever N.V. Detergent composition
DE19539394A1 (de) 1994-10-13 1996-04-25 Lobeck Concept Ag Farb-, Lack- und Folienablösemittel sowie Verwendung desselben
EP0709419B1 (en) 1994-10-24 2004-04-14 Eastman Chemical Company Water-dispersible block copolyesters
US6162890A (en) 1994-10-24 2000-12-19 Eastman Chemical Company Water-dispersible block copolyesters useful as low-odor adhesive raw materials
US5691298A (en) 1994-12-14 1997-11-25 The Procter & Gamble Company Ester oligomers suitable as soil release agents in detergent compositions
US5554312A (en) 1995-01-13 1996-09-10 Ashland Photoresist stripping composition
US5547612A (en) 1995-02-17 1996-08-20 National Starch And Chemical Investment Holding Corporation Compositions of water soluble polymers containing allyloxybenzenesulfonic acid monomer and methallyl sulfonic acid monomer and methods for use in aqueous systems
JPH08231989A (ja) 1995-02-23 1996-09-10 Kurita Water Ind Ltd 洗浄剤組成物及び洗浄方法
US6060547A (en) 1995-04-28 2000-05-09 The Proctor & Gamble Company Film forming foundation
EP0752468B1 (en) 1995-07-06 2003-08-27 Unilever Plc Soil release polyetheresters and detergent compositions containing them
US5728671A (en) 1995-12-21 1998-03-17 The Procter & Gamble Company Soil release polymers with fluorescent whitening properties
JP3255931B2 (ja) 1996-06-28 2002-02-12 ザ、プロクター、エンド、ギャンブル、カンパニー 特定のアルキルベンゼンスルホネート界面活性剤を含有する非水性洗剤組成物
JP3645362B2 (ja) 1996-07-22 2005-05-11 富士写真フイルム株式会社 ネガ型画像記録材料
US5989353A (en) 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
JPH10249913A (ja) 1997-03-12 1998-09-22 Japan Steel Works Ltd:The 注水発泡脱揮方法及び装置
US6240933B1 (en) 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
US6815151B2 (en) 1997-09-05 2004-11-09 Tokyo Ohika Kogyo Co., Ltd. Rinsing solution for lithography and method for processing substrate with the use of the same
US5962388A (en) 1997-11-26 1999-10-05 The Procter & Gamble Company Acidic aqueous cleaning compositions
JP3810538B2 (ja) 1997-11-28 2006-08-16 富士写真フイルム株式会社 ポジ型画像形成材料
US5863881A (en) 1998-02-02 1999-01-26 Citra Science Ltd. Heavy oil remover
JP3366859B2 (ja) 1998-03-05 2003-01-14 日立化成デュポンマイクロシステムズ株式会社 感光性ポリイミド前駆体用現像液及びこれを用いたパターン製造法
US6348679B1 (en) 1998-03-17 2002-02-19 Ameritherm, Inc. RF active compositions for use in adhesion, bonding and coating
US5985816A (en) 1998-04-17 1999-11-16 Dotolo Research Ltd. Heavy oil remover
CN100370360C (zh) 1998-05-18 2008-02-20 马林克罗特有限公司 用于清洗微电子衬底的含硅酸盐碱性组合物
US6319884B2 (en) 1998-06-16 2001-11-20 International Business Machines Corporation Method for removal of cured polyimide and other polymers
KR100288769B1 (ko) 1998-07-10 2001-09-17 윤종용 포토레지스트용스트리퍼조성물
KR100268108B1 (ko) 1998-08-25 2000-12-01 윤종용 포토레지스트용 스트리퍼 조성물
US20040065540A1 (en) 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
DE19906367A1 (de) 1999-02-16 2000-08-17 Clariant Gmbh Verwendung von Kammpolymeren als Schutzablösepolymere
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20030017960A1 (en) * 1999-06-15 2003-01-23 The Procter & Gamble Company Cleaning compositions
TW593674B (en) 1999-09-14 2004-06-21 Jsr Corp Cleaning agent for semiconductor parts and method for cleaning semiconductor parts
US6093689A (en) 1999-09-20 2000-07-25 Dotolo Research Ltd. Asphalt and heavy oil degreaser
JP2001100436A (ja) 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6369016B1 (en) 1999-11-08 2002-04-09 Dotolo Research Ltd. Heavy oil remover
US6310263B1 (en) 1999-11-08 2001-10-30 Dotolo Research Ltd. Heavy oil remover
KR20010065038A (ko) 1999-12-21 2001-07-11 구본준, 론 위라하디락사 박막트랜지스터 및 액정표시장치용 어레이기판 제조방법
DE10003137A1 (de) 2000-01-26 2001-08-02 Clariant Gmbh Wäßrige oder wäßrig-alkoholische Körperreinigungsmittel enthaltend Oligoester
TW558736B (en) 2000-02-26 2003-10-21 Shipley Co Llc Method of reducing defects
JP2001291989A (ja) 2000-04-04 2001-10-19 Tokin Corp 金属筐体を備えた電子部品
US6455479B1 (en) 2000-08-03 2002-09-24 Shipley Company, L.L.C. Stripping composition
US6949495B2 (en) 2000-09-01 2005-09-27 Tokuyama Corporation Cleaning solution for removing residue
JP3738996B2 (ja) 2002-10-10 2006-01-25 東京応化工業株式会社 ホトリソグラフィー用洗浄液および基板の処理方法
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US7385751B2 (en) * 2001-06-11 2008-06-10 Sipix Imaging, Inc. Process for imagewise opening and filling color display components and color displays manufactured thereof
JP4810764B2 (ja) 2001-06-29 2011-11-09 三菱瓦斯化学株式会社 レジスト剥離剤組成物
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
JP2004538503A (ja) 2001-07-13 2004-12-24 イーケーシー テクノロジー,インコーポレイティド スルホキシド−ピロリドン(ピロリジノン)−アルカノールアミン系剥離および洗浄組成物
US6657017B2 (en) 2001-07-27 2003-12-02 Rhodia Inc Sulfonated polyester compounds with enhanced shelf stability and processes of making the same
US6756183B2 (en) 2001-08-24 2004-06-29 Fuji Photo Film Co., Ltd. Method for preparing lithographic printing plate
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6551973B1 (en) 2001-10-09 2003-04-22 General Chemical Corporation Stable metal-safe stripper for removing cured negative-tone novolak and acrylic photoresists and post-etch residue
JP3914842B2 (ja) 2001-10-23 2007-05-16 有限会社ユーエムエス 有機被膜の除去方法および除去装置
US7543592B2 (en) 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US6943142B2 (en) 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
CN1441043A (zh) 2002-02-06 2003-09-10 希普利公司 清洁用组合物
FR2837205B1 (fr) 2002-03-15 2005-05-06 Rhodia Chimie Sa Copolyesteramides et leur utilisation comme agent antisalissure
JP3813890B2 (ja) 2002-03-22 2006-08-23 富士写真フイルム株式会社 3層レジストプロセス用中間層材料組成物及びそれを用いたパターン形成方法
US6827807B2 (en) 2002-04-09 2004-12-07 Eastman Chemical Company Process for producing multilayer structures having a layer formed from a blend of an ethylene-alpha-olefin interpolymer and an ethylene-alkyl acrylate interpolymer
JP2004252395A (ja) 2002-05-07 2004-09-09 Shipley Co Llc 残渣削減安定濃縮物
US20040159335A1 (en) 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
FR2840915B1 (fr) 2002-06-18 2004-08-27 Ferrari S Tissage & Enduct Sa Procede de revetement d'un textile architectural avec au moins une couche d'elastomere silicone, a partir d'une emulsion silicone aqueuse et textile architectural ainsi revetu
US7393819B2 (en) 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
JP4150557B2 (ja) 2002-09-02 2008-09-17 富士フイルム株式会社 多層レジストプロセス用中間層材料組成物及びそれを用いたパターン形成方法
US6837943B2 (en) 2002-12-17 2005-01-04 Samsung Electronics Co., Ltd. Method and apparatus for cleaning a semiconductor substrate
KR100835606B1 (ko) 2002-12-30 2008-06-09 엘지디스플레이 주식회사 구리용 레지스트 제거용 조성물
JP4085262B2 (ja) 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
TWI286679B (en) 2003-06-04 2007-09-11 Kao Corp Removing agent composition
US7198681B2 (en) 2003-10-23 2007-04-03 Halliburton Energy Services, Inc. Methods and compositions for removing resin coatings
JP4381778B2 (ja) * 2003-11-17 2009-12-09 パナソニック株式会社 テクスチャ処理装置
US7183245B2 (en) 2003-12-23 2007-02-27 General Chemical Performance Products, Llc Stripper for cured negative-tone isoprene-based photoresist and bisbenzocyclobutene coatings
TWI365491B (en) 2003-12-24 2012-06-01 Kao Corp Composition for cleaning semiconductor device
JP4166167B2 (ja) 2004-02-05 2008-10-15 富士フイルム株式会社 感光性平版印刷版用現像液及び平版印刷版の製版方法
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
EP2246741A1 (en) 2004-05-19 2010-11-03 Fujifilm Corporation Image recording method
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
JP4792396B2 (ja) 2004-08-31 2011-10-12 三洋化成工業株式会社 界面活性剤
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
KR101331747B1 (ko) 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
JP4678673B2 (ja) 2005-05-12 2011-04-27 東京応化工業株式会社 ホトレジスト用剥離液
US7700533B2 (en) 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
JP4741315B2 (ja) 2005-08-11 2011-08-03 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ポリマー除去組成物
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US20070243773A1 (en) 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20070224811A1 (en) 2006-03-16 2007-09-27 Xinming Wang Substrate processing method and substrate processing apparatus
US7402341B2 (en) 2006-05-23 2008-07-22 Printar Ltd. Methods and compositions for printable surface pre-treatment
US8288330B2 (en) 2006-05-26 2012-10-16 Air Products And Chemicals, Inc. Composition and method for photoresist removal
JP4777197B2 (ja) 2006-09-11 2011-09-21 富士フイルム株式会社 洗浄液及びそれを用いた洗浄方法
US20080139436A1 (en) 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US20080163892A1 (en) 2007-01-08 2008-07-10 United Microelectronics Corp. Cleaning wafer including detergent layer for exposure apparatus of immersion lithography system, composition of detergent layer, method of using cleaning wafer and application system
US20080223414A1 (en) 2007-03-13 2008-09-18 Dober Chemical Corporation Compositions and methods for removing titanium dioxide from surfaces
US20080230092A1 (en) 2007-03-23 2008-09-25 Alexander Sou-Kang Ko Method and apparatus for single-substrate cleaning
US7799139B2 (en) 2007-03-28 2010-09-21 Intel Corporation Chemistry for removal of photo resist, organic sacrificial fill material and etch polymer
CN101827928B (zh) 2007-08-08 2012-10-03 荒川化学工业株式会社 用于除去无铅助焊剂的清洁剂组合物以及用于除去无铅助焊剂的方法
KR101488265B1 (ko) 2007-09-28 2015-02-02 삼성디스플레이 주식회사 박리 조성물 및 박리 방법
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US20090163402A1 (en) 2007-12-19 2009-06-25 Eastman Chemical Company Fabric softener
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
US7687447B2 (en) 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid
JP5066471B2 (ja) 2008-03-26 2012-11-07 富士フイルム株式会社 平版印刷版原版の製版方法
US8314055B2 (en) 2008-06-02 2012-11-20 Lam Research Corporation Materials and systems for advanced substrate cleaning
US7968506B2 (en) 2008-09-03 2011-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
US8925001B2 (en) 2008-09-12 2014-12-30 At&T Intellectual Property I, L.P. Media stream generation based on a category of user expression
US8105997B2 (en) 2008-11-07 2012-01-31 Lam Research Corporation Composition and application of a two-phase contaminant removal medium
US8227394B2 (en) 2008-11-07 2012-07-24 Lam Research Corporation Composition of a cleaning material for particle removal
JP5639755B2 (ja) 2008-11-27 2014-12-10 富士フイルム株式会社 有機溶剤を含有する現像液を用いたパターン形成方法及びこれに用いるリンス液
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63168651A (ja) * 1987-01-06 1988-07-12 Asahi Chem Ind Co Ltd フオトレジストの剥離剤組成物
JPH07295239A (ja) * 1994-04-26 1995-11-10 Nec Corp 剥離液組成物および剥離洗浄方法
JP2002520659A (ja) * 1998-07-10 2002-07-09 クラリアント・インターナシヨナル・リミテッド フォトレジストおよび有機物質を基体表面から取り除くための組成物

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012522068A (ja) * 2009-03-27 2012-09-20 イーストマン ケミカル カンパニー 有機物質の除去のための組成物および方法
WO2015083636A1 (ja) * 2013-12-03 2015-06-11 Jsr株式会社 洗浄液、半導体基板洗浄方法、および金属パターン形成方法
JP2018511079A (ja) * 2015-03-12 2018-04-19 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 低pka駆動ポリマーストリップ中の電荷錯体銅保護を促進する組成物および方法
JP7045190B2 (ja) 2015-03-12 2022-03-31 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 低pka駆動ポリマーストリップ中の電荷錯体銅保護を促進する組成物および方法
JPWO2018062053A1 (ja) * 2016-09-30 2019-09-19 東京応化工業株式会社 洗浄組成物、洗浄方法、及び半導体の製造方法
US11441101B2 (en) 2016-09-30 2022-09-13 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition, cleaning method, and method for manufacturing semiconductor

Also Published As

Publication number Publication date
KR20110137818A (ko) 2011-12-23
US8444768B2 (en) 2013-05-21
SG174416A1 (en) 2011-10-28
TW201039386A (en) 2010-11-01
CN102449554A (zh) 2012-05-09
US20120108486A1 (en) 2012-05-03
US20100242998A1 (en) 2010-09-30
WO2010110848A1 (en) 2010-09-30
EP2411874A1 (en) 2012-02-01
US20130123411A1 (en) 2013-05-16
US8389455B2 (en) 2013-03-05

Similar Documents

Publication Publication Date Title
US8389455B2 (en) Compositions and methods for removing organic substances
JP5576471B2 (ja) 有機物質の除去のための組成物および方法
US8916338B2 (en) Processes and compositions for removing substances from substrates
US20120073607A1 (en) Polymeric or monomeric compositions comprising at least one mono-amide and/or at least one diamide for removing substances from substrates and methods for using the same
JP5860020B2 (ja) 厚いフィルム・レジストを除去するための剥離及びクリーニング用組成物
KR101493294B1 (ko) 두꺼운 필름 레지스트를 제거하기 위한 스트리핑 및 세정 조성물
EP3743773B1 (en) Photoresist remover compositions

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130228

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140304

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140805