JP2012517076A - プラズマプロセスのためのグラウンドリターン - Google Patents

プラズマプロセスのためのグラウンドリターン Download PDF

Info

Publication number
JP2012517076A
JP2012517076A JP2011548432A JP2011548432A JP2012517076A JP 2012517076 A JP2012517076 A JP 2012517076A JP 2011548432 A JP2011548432 A JP 2011548432A JP 2011548432 A JP2011548432 A JP 2011548432A JP 2012517076 A JP2012517076 A JP 2012517076A
Authority
JP
Japan
Prior art keywords
substrate support
chamber
substrate
contact member
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011548432A
Other languages
English (en)
Other versions
JP5883652B2 (ja
JP2012517076A5 (ja
Inventor
スー ヤン チェ,
ロビン エル. タイナー,
真一 栗田
ジョン エム. ホワイト,
カール エー. ソレンセン,
ジェフリー エー. コー,
スハイル アンウォー,
学 古田
真 稲川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012517076A publication Critical patent/JP2012517076A/ja
Publication of JP2012517076A5 publication Critical patent/JP2012517076A5/ja
Application granted granted Critical
Publication of JP5883652B2 publication Critical patent/JP5883652B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

2つの電極間に電流のための電気的に対称なグラウンドパスまたはリターンパスを設けるための方法および装置が説明される。この装置は、電極の中の1つに結合され、処理チャンバの側壁および/または底部間に位置する少なくとも1つの高周波(RF)デバイスを備える。この方法は、ある電極を他の電極に対して移動させるステップと、側壁および電極に結合されたRFデバイス、チャンバの底部および電極に結合されたRFデバイス、またはそれらの組合せの中の1つまたは複数を使用して、変位された電極の位置に基づきグラウンドリターンパスを実現するステップとを含む。

Description

本発明の実施形態は、概して、プラズマを使用してソーラーパネル基板、フラットパネル基板、または半導体基板などの基板を処理するための方法および装置に関する。より詳細には、本発明の実施形態は、プラズマ処理チャンバのための高周波(RF)電流リターンパスに関する。
プラズマ化学気相成長(PECVD)は、概して半導体基板、ソーラーパネル基板、および液晶ディスプレイ(LCD)基板などの基板上に薄膜を蒸着するために利用される。PECVDは、一般的には、基板サポート上に基板が配設された真空チャンバ内に前駆体ガスを送り込むことによって遂行される。前駆体ガスは、典型的には、真空チャンバの頂部付近に位置するガス分配プレートを介して送られる。真空チャンバ内の前駆体ガスは、チャンバに結合された1つまたは複数のRF源からチャンバに高周波(RF)電力を印加することにより通電(例えば励起)されてプラズマ化する。励起されたガスは、反応して、温度制御された基板サポート上に位置決めされた基板の表面上に材料の層を形成する。分配プレートは、一般的にはRF電源に接続され、基板サポートは、典型的にはチャンバ本体に連結されて、それによりRF電流リターンパスが形成される。
PECVDプロセスを利用して蒸着される薄膜においては、均一であることが一般的には望ましい。例えば、通常は、トランジスタまたは太陽電池において必要なpn接合部を形成するために、PECVDを使用してフラットパネル上に微結晶シリコン膜などのアモルファスシリコン膜、または多結晶シリコン膜が蒸着される。アモルファスシリコン膜または多結晶シリコン膜の品質および均一性は、商業運転にとっては重要である。したがって、プラズマおよび蒸着の均一性が向上したPECVDチャンバが必要である。
より大型のLCDおよびソーラーパネルの需要が上昇しつつあるように、LCDおよびソーラーパネルを作製するために使用される基板のサイズも、より大型のものの需要が増えつつある。基板のサイズは、今や1平方メートル超の面積が普通である。典型的には直径約300ミリメートルである半導体基板のサイズと比較すると、半導体ウェーハを処理するためにサイズ設定されたチャンバは、1平方メートルまたはそれ以上の大きさの基板を処理するには不十分な大きさとなり得ることが、容易に理解できる。したがって、より大面積用の処理チャンバの開発が必要である。
これらの大面積処理チャンバは、いくつかの場合では、半導体カウンターパートチャンバと同一である場合があり、単にサイズを拡大することによって許容し得る成果が得られる。他の場合では、処理チャンバのサイズの拡大は、処理チャンバを拡大した場合に予測不能な問題が生じるため、有効ではない。RFエネルギーを印加するための大型チャンバの設計は、拡大により十分な成果が得られない一例である。
さらに、大面積処理チャンバにおいて実施されるプロセスのためのプロセス条件を調節することが必要となる場合がある。適切なガス流、タイミングシーケンス、RF電力印加、温度条件、および他のプロセス変数の決定には、ルーチンをはるかに上回る多大な研究および実験が必要となる場合がある。
したがって、大面積基板を処理することが可能なチャンバを設計するためには、注意を払う必要がある。
本発明の実施形態は、概して、基板をプラズマ処理するための方法および装置に関する。さらに詳細には、本発明の実施形態は、有利なRFリターンパスを形成するようになされた1つまたは複数の高周波(RF)接地デバイスまたはリターンデバイスを有するプラズマ処理チャンバを提供する。
一実施形態においては、プラズマ処理チャンバのための高周波リターンデバイスが説明される。このデバイスは、シャフトを有するベースであり、このシャフトがベース中に形成された開口内に移動可能に配設されるベースと、ベースとシャフトとの間において結合されるばねであり、周囲温度および約200℃以上の処理温度にて実質的に同一である弾性特性を有する金属または合金から構成される第1の材料を含むばねと、第1の材料を実質的に包入する第2の材料であり、第1の材料とは異なる第2の材料とを備える。
別の実施形態においては、プラズマ処理システムが説明される。このシステムは、チャンバと、チャンバ内に配設される少なくとも1つの電極とを含み、この少なくとも1つの電極は、チャンバ内におけるプラズマの生成を促進し、チャンバ内において第2の電極に対して移動可能であり、1つまたは複数の可撓性接触部材により第2の電極に対して移動しつつ電気的に結合状態に維持され、この1つまたは複数の可撓性接触部材の少なくとも1つが、約200℃超の温度に達した場合に塑性変形を伴わずに弾性を実質的に維持する金属または合金から構成される材料を含む。
別の実施形態においては、方法が説明される。この方法は、チャンバ内に配設される可動電極と固定電極との間に高周波電力を印加するステップと、チャンバの底部までの第1の高周波リターンパスを与えるステップと、固定電極に対して可動電極を変位させるステップと、1つまたは複数の圧縮可能接触部材を介してチャンバの側壁部までの第2の高周波リターンパスを与えるステップとを含む。
上記に列挙した本発明の特徴を詳細に理解することが可能となるように、添付の図面に図示されるものを含む実施形態を参照として、上記で簡単に概説した本発明をより具体的に説明することができる。しかし、添付の図面は、本発明の典型的な実施形態を示すものに過ぎず、したがって、本発明が他の同様に有効な実施形態を許容し得ることからも本発明の範囲を限定するものとしては見なされるべきではない点に、留意されたい。
プラズマ処理システムの一実施形態の概略断面図である。 図1Aに図示されるプラズマ処理システムの別の実施形態の概略断面図である。 RFデバイスの一実施形態の概略断面図である。 図2Aに図示されるRFデバイスの概略立面図である。 RFデバイスの別の実施形態の概略断面図である。 図3AのRFデバイスの概略断面図である。 プラズマ処理システムの別の実施形態の概略断面図である。 図4の処理チャンバの概略断面図である。 線6‐6に沿って見た、図5のチャンバの概略断面図である。 RFデバイスの別の実施形態の等角図である。 図7Aに図示されるRFデバイスの側面図である。 チャンバ本体の内部から見た場合の、複数の圧縮可能接触部材のための結合構成の一実施形態の等角断面図である。 図8Aのチャンバ本体の一部分の上面図である。 圧縮可能接触部材の別の実施形態の等角図である。 図8Aに図示される圧縮可能接触部材の分解等角図である。 ブラケットの一実施形態の等角図である。 ブラケットの一実施形態の等角図である。 圧縮可能接触部材の別の実施形態の概略側面図である。 圧縮可能接触部材の別の実施形態の概略側面図である。 図10Aの圧縮可能接触部材を示す、チャンバ本体の一部分の側面断面図である。 図10Aの圧縮可能接触部材を示す、チャンバ本体の一部分の側面断面図である。 圧縮可能接触部材の別の実施形態の等角側面図である。 図12Aに図示される接触部材と共に使用し得るばね形状物の一実施形態の側面図である。 図12Aに図示される接触部材と共に使用し得るばね形状物の一実施形態の側面図である。 図12Aに図示される接触部材と共に使用し得るばね形状物の一実施形態の側面図である。 図12Aに図示される接触部材と共に使用し得るばね形状物の一実施形態の側面図である。 圧縮可能接触部材の別の実施形態の断面図である。 圧縮可能接触部材の別の実施形態の断面図である。 図13Aおよび図13Bに図示される接触部材の分解等角図である。 圧縮可能接触部材の別の実施形態の等角図である。 圧縮可能接触部材の別の実施形態の等角図である。 図14Aおよび図14Bに図示される接触部材の側面断面図である。 部分的に圧縮された位置における、図14Aおよび図14Bに図示される接触部材のばね形状物の側面断面図である。 ばね形状物の例を示す、図14Aおよび図14Bに図示される接触部材の等角図である。 ばね形状物の例を示す、図14Aおよび図14Bに図示される接触部材の等角図である。 上昇位置にある基板サポートに結合された、図14Aおよび図14Bにおいて説明されるような接触部材の側面断面図である。 プラズマ処理システムの別の実施形態の概略断面図である。
理解を容易にするために、可能な場合にはいずれにおいても、複数の図面に関して共通する同一要素を指定するために同一の参照数字を使用した。追加的な詳述を伴わずとも、一実施形態の要素および/またはプロセスステップを他の実施形態に有利に組み込み得ることが予期される。
本発明の実施形態は、概して、プラズマを使用して基板を処理するおよび/またはプラズマを使用して構成要素を洗浄するための、方法および装置に関する。本明細書に記載される実施形態は、電流用の改良されたグラウンドパスまたはリターンパスを形成することにより、プラズマ形成を向上させ、基板上に材料を蒸着させる方法に関する。以下の説明においては、プラズマ化学気相成長(PECVD)チャンバを参照とするが、本明細書における実施形態は、物理蒸着(PVD)チャンバ、エッチングチャンバ、半導体処理チャンバ、太陽電池処理チャンバ、および有機ELディスプレイ(OLED)処理チャンバ等々を含む他のチャンバにおいても実施することができることを理解されたい。使用することのできる適切なチャンバは、カリフォルニア州Santa Clara在のApplied Materials Inc.社の子会社であるAKT America Inc.社より入手可能である。本明細書において論じられる実施形態は、他の製造業者から入手可能なチャンバにおいても実施し得る点を理解されたい。
本発明の実施形態は、一般的には、液晶ディスプレイまたはフラットパネル用の基板およびソーラーパネル用の基板など、矩形の基板の処理において使用される。他の適する基板は、半導体基板などの円形のものである場合がある。基板を処理するために使用されるチャンバは、典型的には、基板の搬送のためにチャンバの側壁中に形成される基板搬送ポートを備える。この搬送ポートは、一般的には、基板の1つまたは複数の主要寸法よりも若干大きな長さを有する。搬送ポートにより、RFリターン方式に難題が生じる場合がある。本発明は、任意のサイズまたは形状の基板を処理するために使用することができる。しかし、本発明は、約15,600cmの平面表面積を有する、および約90,000cm(またはそれ以上)の平面表面積を有する基板を含む基板において、特に有利である。基板表面積のサイズが大きなものは、特に搬送ポートにまたはその付近に適切なグラウンドパスを形成する困難さを高めるため、均一な処理において難題を生じさせる。本明細書において述べられる実施形態は、比較的大きな基板サイズの処理の際のこれらの難題に対する解決策をもたらす。
図1Aは、プラズマ処理システム100の一実施形態の概略断面図である。プラズマ処理システム100は、液晶ディスプレイ(LCD)、フラットパネルディスプレイ、有機LEダイオード(OLED)、または太陽電池アレイ用の光電池の製造時に使用するための大面積基板101上に構造物およびデバイスを形成する際に、プラズマを使用して大面積基板101を処理するように構成される。基板101は、他の適切な材料の中でもとりわけ、金属、プラスチック、有機材料、シリコン、ガラス、石英、またはポリマーからなる薄いシートであってもよい。基板101は、約2平方メートル超など、約1平方メートル超の表面積を有してもよい。他の実施形態においては、基板101は、例えば約90,000cm(またはそれ以上)の平面表面積など、約15,600cm以上の平面表面積を有してもよい。構造物は、複数の逐次的な蒸着ステップおよびマスキングステップから構成され得る、薄膜トランジスタであってもよい。他の構造体は、光電池のためのダイオードを形成するpn接合部を含んでもよい。
プラズマ処理システム100は、大面積基板101上に、誘電材料(例えばSiO、SiO、それらの誘導体、またはそれらの組合せなど)、半導体材料(例えばSiおよびそのドーパントなど)、バリア材料(例えばSiN、SiO、またはそれらの誘導体など)を含むがそれらに限定されない様々な材料を蒸着するように構成されてもよい。プラズマ処理システム100により大面積基板上に形成または蒸着される誘電体材料および半導体材料の具体的な例には、エピタキシャルシリコン、多結晶シリコン、アモルファスシリコン、微結晶シリコン、シリコンゲルマニウム、ゲルマニウム、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、それらのドーパント(例えばB、P、またはAsなど)それの誘導体、またはそれらの組合せが含まれてもよい。また、プラズマ処理システム100は、パージガスまたはキャリアガス(例えばAr、H、N、He、それらの誘導体、またはそれらの組合せなど)として使用するために、アルゴン、水素、窒素、ヘリウム、またはそれらの組合せなどのガスを受けるように構成される。システム100を使用して大面積基板101上にシリコン薄膜を蒸着する一例は、水素キャリアガス中の処理ガスとしてシランを使用することによって遂行することができる。
図1Aに図示されるように、プラズマ処理システム100は、一般的には、処理容積部111を少なくとも部分的に画成する底部117aおよび側壁117bを含む、チャンバ本体102を含む。基板サポート104が、処理容積部111内に配設される。基板サポート104は、処理中に上部表面上に基板101を支持するようになされる。基板サポート104は、基板101の搬送を容易にするために、および/または基板101とシャワーヘッドアセンブリ103との間の距離Dを調節するために、基板サポートを少なくとも垂直方向に移動させるようになされたアクチュエータ138に結合される。1つまたは複数のリフトピン110a〜110dが、基板サポート104を貫通して延在してもよい。リフトピン110a〜110dは、図1Bに図示されるように、基板101の搬送を容易にするために基板サポート104がアクチュエータ138によって下げられる際に、チャンバ本体102の底部117aに接触し、基板101を支持するようになされる。図1Aに図示されるような処理位置においては、リフトピン110a〜110dは、基板101が基板サポート104上において平坦に置かれ得るように、基板サポート104の上方表面と同一平面になるか、または若干下方に位置するようになされる。
シャワーヘッドアセンブリ103は、処理ガス源122から処理容積部111に処理ガスを供給するように構成される。プラズマ処理システム100は、処理容積部111に負圧を印加するように構成された排気システム118をさらに含む。シャワーヘッドアセンブリ103は、一般的には、実質的に平行な関係において、基板サポート104に対向して配設される。
一実施形態においては、シャワーヘッドアセンブリ103は、ガス分配プレート114およびバッキングプレート116を備える。バッキングプレート116は、ガス分配プレート114とバッキングプレート116との間にガス容積部131を形成することが可能になるように、ブロッカプレートとして機能してもよい。ガス源122は、土井有漢134によりガス分配プレート114に連結される。一実施形態において破、遠隔プラズマ源107が、ガス分配プレート114を介して処理容積部111に活性ガスのプラズマを供給するために、導管134に結合される。遠隔プラズマ源107からのプラズマは、処理容積部111内に配設されたチャンバ構成要素を洗浄するための活性ガスを含んでもよい。一実施形態においては、活性洗浄ガスが、処理容積部111に流される。洗浄に適するガスには、フッ素(F)、三フッ化窒素(NF)、六フッ化硫黄(SF)、および炭素/フッ素含有ガス(例えばオクタフルオロテトラヒドロフラン(CO)、フッ化カルボニル(COF)、ヘキサフルオロエタン(C)、テトラフルオロメタン(CF)、パーフルオロプロパン(C)、およびそれらの組合せなどのフッ化炭素など)が含まれる。炭素含有ガスおよび酸素含有ガスを使用してもよいが、これらのガスは、炭素汚染および/または酸素汚染の可能性があるため好ましくない。
ガス分配プレート114、バッキングプレート116、および導管134は、一般的には導電性材料から形成され、互いに電気接続状態にある。チャンバ本体102もまた、導電性材料から形成される。チャンバ本体102は、一般的にはシャワーヘッドアセンブリ103から電気的に絶縁される。一実施形態においては、シャワーヘッドアセンブリ103は、絶縁体135によってチャンバ本体102上に設置される。
一実施形態においては、基板サポート104もまた、導電性であり、基板サポート104およびシャワーヘッドアセンブリ103は、処理プロセスおよび/または前処理プロセスもしくは後処理プロセスの際に基板サポート104とシャワーヘッドアセンブリ103との間に処理ガスのプラズマ108aを生成するための対向電極となるように構成される。さらに、基板サポート104およびシャワーヘッドアセンブリ103は、洗浄プロセスの際に洗浄ガスのプラズマ108b(図1B)を支持するために使用されてもよい。
処理前、処理中、および処理後にシャワーヘッドアセンブリ103と基板サポート104との代打にプラズマ108aを生成するために、一般的には高周波(RF)電源105が使用されるが、このRF電源105は、通電された種を維持するか、または遠隔プラズマ源107から供給される洗浄ガスをさらに励起するためにも使用されてよい。一実施形態においては、RF電源105は、インピーダンス整合回路121の第1の出力106aによりシャワーヘッドアセンブリ103に結合される。インピーダンス整合回路121の第2の出力106bは、チャンバ本体102に電気接続される。
一実施形態においては、プラズマ処理システム100は、複数の第1のRFデバイス109aおよび複数の第2のRFデバイス109bを含む。第1のRFデバイス109aおよび第2のRFデバイス109bはそれぞれ、基板サポート104とチャンバ本体102の接地された構成要素との間にて結合される。一実施形態において破、複数のRFデバイス109aおよび109bは、処理および/またはチャンバ洗浄処置の際にRF電流を帰還させるためのリターンパスを制御するように構成される。複数の第1のRFデバイス109aおよび第2のRFデバイス109bは、電流に対して開閉するように選択的に作動され得る。複数のRFデバイス109aおよび109bはそれぞれ、基板サポート104とチャンバ本体102の接地された構成要素との間にRF導電性媒体を与えるための、ばね形状物、ストラップ、ワイヤ、またはケーブルであってもよい。一実施形態においては、RFデバイス109aおよび109bは、可撓性の導電性材料から作製された、またはそれによって被覆された、ストラップとして構成される。一態様においては、RFデバイス109aおよび109bは、ストラップとして構成され、RFデバイス109aは、RFデバイス109bよりも短い長さを有することにより、電流のためのパスを比較的短いものにする。
一実施形態においては、RFデバイス109a、109bは、RF電流に対してRFリターンパスを開く(すなわちRF電流が流れるのを防ぐ)ように構成されてもよい。この実施形態においては、RFデバイス109aおよび109bは、スイッチとして構成されてもよい。一態様においては、各RFデバイス109a、109bの開/閉特性は、シャワーヘッドアセンブリ103に対する基板サポートの上昇により制御されてもよい。いくつかの実施形態においては、電流は、基板サポートの上昇により、スイッチを作動させるか、またはRFリターンパスの別の部分から選択されたRFデバイスを電気的に切断することによって、RFデバイス109a、109bの中の所定の一方への流れを妨げられる。一例においては、選択されたRFデバイスは、チャンバ本体102の接地された構成要素(すなわちRF電源105と電気接続状態にあるチャンバ本体102の構成要素)から電気的に切断され得る。一実施形態においては、複数のRFデバイス109aおよび109bは、RFグラウンドリターンデバイスとして使用される。しかし、複数のRFデバイス109a、109bの中の1つまたは複数が、プラズマ処理システム100内に電流を印加または搬送する他の電気接続部の代わりに使用されてもよい。
処理の際に、1つまたは複数の処理ガスが、ガス源122からシャワーヘッドアセンブリ103を介して処理容積部111に流される。RF電力が、基板101の処理のために処理ガスからプラズマ108aを生成させるために、シャワーヘッドアセンブリ103と基板サポート104との間に印加される。処理の際には、プラズマ分布が均一であることが一般的には望ましいが、プラズマ均一性の調整もまた有効であり得る。しかし、プラズマ108aの分布は、処理ガスの分布、処理容積部111のジオメトリ、シャワーヘッドアセンブリ103と基板サポート104との間の距離、同一基板または異なる基板上の蒸着プロセス間のばらつき、蒸着プロセスおよび洗浄プロセス、ならびにRFデバイス109aおよび109bの電気特性などの、様々な因子により決定される。基板サポート104とシャワーヘッドアセンブリとの間の間隔または距離Dは、グラウンドリターンRFリターンパスを変更するために、前処理、後処理、処理、および洗浄の際に調節されてもよい。一態様においては、RFデバイス109aは、可撓性であり、シャワーヘッドアセンブリ103に対する基板サポート104の位置に基づきRF電流を帰還させるための開回路を形成するように構成される。別の態様においては、RFデバイス109aは、可撓性であり、シャワーヘッドアセンブリ103に対する基板サポート104の位置に基づきRF電流を帰還させるための閉回路を形成するように構成される。この実施形態においては、RFデバイス109aの可撓性により、種々のプロセスが実施されている間に基板サポート104とシャワーヘッドアセンブリ103との間の間隔を調節することを可能にする距離Dの範囲内で閉回路が実現される。例えば、基板サポート104は、RFデバイス109aとの閉回路を維持しつつ、シャワーヘッドアセンブリ103に対して移動され得る。
RF電流パスの一実施形態が、図1Aにおいて矢印により概略的に示される。図1においては、RF電流パスは、基板101の処理中のRF電流の流れを表し得る。RF電流は、概して、RF電源105の第1のリード123aからインピーダンス整合回路121の第1の出力106aまで進み、次いで導管134の外方表面に沿ってバッキングプレート116の後表面まで進み、次いでガス分配プレート114の前表面まで進む。ガス分配プレート114の前表面から、RF電流は、プラズマ108aを通り進み、基板101または基板サポート104の上部表面に達し、次いで複数のRFデバイス109aおよび/または109bを通り、チャンバ本体102の内方表面125まで進む。内方表面125から、RF電流は、インピーダンス整合回路121からRF電源105の第2のリード123bに帰還する。
帰還RF電流の一例が、図1Aに図示され、複数のRFデバイス109aおよび109bの一方または全てを越えてすなわち通過して進むものとして本明細書においては説明されるが、基板サポート104とチャンバ本体102の内方表面125の複数部分との間には、アーク放電が図らずも生じる場合があることが分かる。アーク放電またはアーク電位は、処理容積部111内の無数の条件により引き起こされ得る。例えば、アーク放電は、少なくとも部分的には、チャンバ本体102の設置された構成要素に対する基板サポート104の位置または近接度によって引き起こされ得る。アーク放電またはアーク電位は、システム100において実施されるプロセスに悪影響を及ぼす。さらに、システム100の構成要素が、アーク放電により損傷を被る場合がある。したがって、PECVDシステムにおけるアーク放電またはアーク電位を低減または排除することが、最重要課題となる。これらの課題は、プロセスパラメータが変更される度に、および/または比較的大型の基板が使用される場合に改めて生じ、これらの課題の解消には、ルーチンをはるかに上回る多大な量の研究および実験が必要となる。本明細書において説明される実施形態は、これらのシステムにおけるアーク放電を最小限に抑えるかまたは排除するために使用され得るRFデバイスを用意することにより、これらの課題に対応する。したがって、いくつかの実施形態においては、RF電流は、チャンバ本体102と基板サポート104との間のアーク放電の電位を最小限に抑えるために、複数のRFデバイス109a、109bの中の1つまたは複数を優先的に越えてすなわち通過して流される。さらに、アーク放電またはアーク電位を最小限に抑えるために、および/またはRFリターンを向上させるために、複数のRFデバイス109a、109bの位置決めおよび/または間隔が調節されてもよい。
いくつかの実施形態においては、帰還RF電流は、基板サポート104からの最短リターンパスとしての複数のRFデバイス109aの中の1つまたは複数を越えて、側壁117bの内方表面125に沿って第2のリード123bまで進んでもよい。他の実施形態においては、帰還RF電流は、基板サポート104からの最短リターンパスとしての複数のRFデバイス109bの中の1つまたは複数を越えて、チャンバ底部117aの内方表面125に沿って、および側壁117bの内方表面に沿って第2のリード123bまで進んでもよい。次に、複数のRFデバイス109aおよび109bの中の1つまたは複数を越える種々のRFリターンパスを、さらに詳細に説明する。
(処理中のRF帰還)
一実施形態においては、処理中のRF電流のリターンパスは、距離Dとして表される基板サポート104とシャワーヘッドアセンブリ103との間の間隔に基づいて決定され得る。この間隔は、基板サポート104の上昇により制御される。一実施形態においては、距離Dは、処理中には約200ミルから約2000ミルの間である。この間隔(例えば基板サポート104の上昇)では、RFデバイス109aおよび109bは共に、RF電源105に電気的に結合された状態に留まり得る。この実施形態においては、RF電流が通過するRFリターンパスは、RFデバイス109aおよび109bの電気特性および位置決めに基づくことができる。これらの電気特性には、RFデバイス109aおよび109bの抵抗、インピーダンス、および/またはコンダクタンスが含まれる。例えば、複数のRFデバイス109aが、比較的近く、RF電源105の第2のリード123bに帰還するRF電流についてインピーダンスが比較的低いことによって、RF電流は、複数のRFデバイス109aを優先的に通り流れ、その一方でRF電流は、複数のRFデバイス109bを通っては殆どまたは全く流れなくなる。
一実施形態においては、複数の蒸着プロセスが、基板サポート104を様々な上昇または間隔におくことにより実施される。一例においては、第1の蒸着プロセスが、距離Dが約200ミルから約1500ミルの間である場合の第1の間隔にて実施され得る。この実施形態においては、複数のRFデバイス109aおよび複数のRFデバイス109bは、帰還RF電流がRFデバイス109aおよび109bの全てを越えて流れるように、基板サポート104に電気的に結合されてもよい。別の例においては、第2の蒸着プロセスが、距離Dが約1200ミル超から約1800ミル(約1500ミル超など)である場合の第2の間隔にて実施され得る。この実施形態においては、複数のRFデバイス109aは、帰還RF電流がRFデバイス109bのみを流れるように、基板サポート104から電気的にまたは物理的に切り離され得る。別の例においては、他の蒸着プロセスが、帰還RF電流が複数のRFデバイス109aおよび109bの中の一方または両方を越えて流れるように、第1の間隔と第2の間隔との間の様々な距離Dにて実施され得る。
(洗浄中のRFリターン)
図1Bは、図1Aに図示されるプラズマ処理システム100の概略断面図である。この図においては、プラズマ処理システム100は、チャンバ洗浄処置を示すために、基板を伴わずに図示される。この実施形態においては、通電された洗浄ガスが、遠隔プラズマ源107からシャワーヘッドアセンブリ103および処理容積部111に流されて、処理容積部111内にプラズマ108bを供給する。チャンバ洗浄の際に、基板サポート104は、シャワーヘッドアセンブリ103から離れて配設され、RF電源105からのRF電力が、処理容積部111に印加されて、遠隔プラズマ源107からの洗浄ガスを維持またはこの洗浄ガスにさらに通電することができる。一実施形態においては、チャンバ洗浄の際のシャワーヘッドアセンブリ103に対する基板サポート104の間隔または距離Dは、処理の際のシャワーヘッドアセンブリ103に対する基板サポート104の間隔または距離Dよりも大きい。一実施形態においては、洗浄プロセスの際の基板サポート104とシャワーヘッドアセンブリ103との間の距離Dは、約200ミルから約5000ミルの間かまたはそれ以上である。
一実施形態においては、複数の洗浄ステップまたはプロセスが、基板サポート104を様々な上昇または間隔におくことにより実施されてもよい。一例においては、第1の洗浄プロセスが、距離Dが約1100ミルから約1500ミルの間である場合の第1の間隔で実施されてもよい。この実施形態においては、複数のRFデバイス109aおよび複数のRFデバイス109bは、帰還RF電流がRFデバイス109aおよび109bの全てを越えて流れるように、基板サポート104に対して電気的に結合されてもよい。別の例においては、第2の洗浄プロセスが、距離Dが約1100ミル未満(約400ミルから600ミルの間など)である場合の第2の間隔にて実施され得る。この実施形態においては、複数のRFデバイス109aおよび複数のRFデバイス109bは、帰還RF電流がRFデバイス109aおよび109bの全てを越えて流れるように、基板サポート104に対して電気的に結合されてもよい。さらに別の例においては、第3の洗浄プロセスが、距離Dが約1500ミル超(例えば約5000ミルなどの、約1500ミル超から約6000ミルの間など)である場合の第3の間隔で実施されてもよい。この実施形態においては、複数のRFデバイス109aは、帰還RF電流がRFデバイス109bのみを越えて流れるように、基板サポート104から電気的にまたは物理的に切り離され得る。第1、第2、および第3の洗浄間隔の例は、チャンバを洗浄するために所望に応じて共にまたは別個に使用されてもよく、他の洗浄プロセスが、帰還RF電流が複数のRFデバイス109aおよび109bの中の一方または両方を越えて流れるように、第1の間隔と第3の間隔との間の様々な距離Dにて実施されてもよい。
一実施形態においては、基板サポート104の上昇により、RF電流がRFデバイス109aを通過するのを実質的に防ぐ状況を生じさせる。この状況は、RFデバイス109aに開RF回路を形成することによって、またはRFデバイス109bに対してRFデバイス109aの電気特性を変化させることによって引き起こされてもよい。一実施形態においては、処理位置に比して洗浄位置においては基板サポート104が比較的低く位置することにより、RF帰還電流が、基板サポート104から、RFデバイス109aよりも優先的にRFデバイス109bを越えて流される。一実施形態においては、基板サポート104がこの比較的低い位置にある場合に、RFデバイス109aは、側壁117bおよび基板サポート104の一方から引き離され、それによりRFデバイス109aにおいてRF開状況を生じさせる。この実施形態においては、RF電流のための唯一のリターンパスが、RFデバイス109bを越えるものであってもよい。別の実施形態においては、RFデバイス109aは、接続されてもよいが、RFデバイス109aの抵抗は、RFデバイス109bの抵抗よりも高くてもよく、これにより、RF帰還電流は、RFデバイス109bを越えて優先的に流される。RFデバイスの抵抗は、選択されたRFデバイス109aに対して可変抵抗回路を一時的に結合することにより、多様化されてもよい。
(前処理プロセスにおけるRFリターン)
蒸着プロセスの前に、基板101に対して前処理プロセスを実施することが時として望ましい。前処理プロセスは、シャワーヘッドアセンブリ103に前処理ガスを流すことと、チャンバ内において基板101の上方にプラズマを発生させることとを含む。適切な前処理ガスには、アルゴン(Ar)、窒素(N)、水素(He)、アンモニア(NH)、それらの組合せ、およびそれらの誘導体、ならびにSiHなどのシランを含まない任意のガスなど、不活性ガスまたは基板上に蒸着され得る前駆体を含まないガスが含まれる。一実施形態においては、前処理プロセスは、蒸着プロセスの準備のために基板を加熱するために、不活性ガスまたは蒸着前駆体を含まないガスのプラズマを形成することを含む。不活性ガスのプラズマを利用することにより、基板サポート104上に配設されたヒータとの組合せにより基板101を加熱することが容易になる。基板の前処理加熱により、基板の加熱時間が短縮され、これによりスループットが上昇する。別の実施形態においては、前処理プロセスは、他の場合であれば基板搬送の際に基板中に発生したであろう静電荷を最小限に抑えるかまたは排除するために、不活性ガスまたは蒸着前駆体を含まないガスのプラズマを形成することを含む。この実施形態においては、プラズマは、他の場合であれば基板中または基板上に発生したであろう静電力を再分布させるかまたは排除し、蒸着プロセスに向けて基板を準備する。
一実施形態においては、前処理プロセスの際のRF電流のリターンパスは、基板サポート104とシャワーヘッドアセンブリ103との間の間隔により決定され得る。距離Dとして表される基板サポート104とシャワーヘッドアセンブリ103との間のこの間隔は、約200ミルから約5000ミルの間など、処理位置と洗浄位置との間のある位置であってよい。したがって、シャワーヘッドアセンブリ103に対する基板サポート104の前処理位置は、第1のまたは下方の位置(例えば約1500ミルから約5000ミルの間など)および第2のまたは高い位置(例えば200ミルから約1500ミルの間など)を含んでもよい。
この実施形態においては、RFリターンパスは、複数のRFデバイス109aおよび109bの一方または両方に沿ってRF電源105の第2のリード123bに帰還するRF電流を含んでもよい。一態様においては、帰還RF電流を、複数のRFデバイス109aおよび109bの一方または両方を越えて優先的に移動させるために、複数のRFデバイス109a、109bの一方または両方の電気特性が変更されてもよい。一実施形態においては、基板サポート104の上昇により、RF電流がRFデバイス109aを通過するのを実質的に防ぐ状況を生じさせる。一実施形態においては、基板サポート104の上昇が、複数のRFデバイス109aおよび109bを越える帰還RF電流のパスを決定する。一例においては、基板サポート104の上昇が、第2のまたは高い位置にある場合に、帰還RF電流は、複数のRFデバイス109aを越えて優先的に流れる。RFデバイス109aを優先的に越える流れは、複数のRFデバイス109bが基板サポート104およびチャンバ本体102に連結され、それらと電気接続状態にある場合にも、生じ得る。
一態様においては、期間RF電流は、RFデバイス109aに比べてRFデバイス109bを越えて優先的に流れてもよい。一実施形態においては、RFデバイス109bは、基板サポート104が第1のまたは下方の位置にある場合には、側壁117bおよび基板サポート104の一方から引き離される。この実施形態においては、RF電流のための唯一のリターンパスが、RFデバイス109bを越えるものであってもよい。RFデバイス109bを越えるこの流れは、複数のRFデバイス109aが基板サポート104およびチャンバ本体102に連結され、それらと電気接続状態にある場合にも、生じ得る。別の実施形態においては、RFデバイス109bは、様々な電気特性を有するように構成されてもよく、または基板サポート104が第2のもしくは高い位置にある場合にRF電流に対して開くように構成されてもよい。この実施形態においては、RFリターンパスは、RFデバイス109aを優先的に越えて帰還するRF電流から構成される。別の態様においては、帰還RF電流は、最短リターンパスに基づいて、複数のRFデバイス109aおよび複数のRFデバイス109bの一方または両方を越えて流れる。
(後処理プロセスにおけるRFリターン)
蒸着プロセス後に、基板101に対して後処理プロセスを実施することが、時として望ましい。後処理プロセスは、シャワーヘッドアセンブリ103に後処理ガスを流すことと、チャンバ内において基板101の上方にプラズマを発生させることとを含む。適切な後処理ガスには、アルゴン(Ar)、窒素(N)、水素(He)、アンモニア(NH)、水素(H)、それらの組合せ、およびそれらの誘導体などの不活性ガスが含まれる。一実施形態においては、後処理プロセスは、基板101上の残留静電荷を最小限に抑えて、基板サポート104の上方表面から基板101を引き上げるのを補助するために、不活性ガスのプラズマを形成することを含む。不活性ガスのプラズマを使用することにより、基板サポート104に基板101を固定させるように作用する静電力を再分配することが容易になり、基板101が搬送のために基板サポート104から離れるように移動することが可能となる。
一実施形態においては、後処理プロセスの際のRF電流のリターンパスは、基板サポート104とシャワーヘッドアセンブリ103との間の間隔により決定され得る。距離Dとして表される基板サポート104とシャワーヘッドアセンブリ103との間のこの間隔は、約200ミルから約5000ミルの間またはそれ以上など、処理位置と洗浄位置との間のある位置であってよい。したがって、シャワーヘッドアセンブリ103に対する基板サポート104の後処理位置は、第1のまたは下方の位置(例えば約1500ミルから約5000ミルの間など)および第2のまたは高い位置(例えば200ミルから約1500ミルの間など)を含んでもよい。
この実施形態においては、RFリターンパスは、複数のRFデバイス109aおよび109bの一方または両方に沿ってRF電源105の第2のリード123bに帰還するRF電流を含んでもよい。一実施形態においては、シャワーヘッドアセンブリ103および/またはチャンバ本体102の内方表面125に対する基板サポート104の位置により、RFリターンのための抵抗の最も低いパスが得られる。一態様においては、帰還RF電流を、複数のRFデバイス109aおよび109bの一方または両方を越えて優先的に移動させるために、複数のRFデバイス109a、109bの一方または両方の電気特性が変更されてもよい。一実施形態においては、基板サポート104の上昇により、複数のRFデバイス109aを優先的に越えるRFリターンパスを生じさせる状況が引き起こされる。RFデバイス109aを優先的に越える流れは、複数のRFデバイス109bが基板サポート104およびチャンバ本体102に連結され、それらと電気接続状態にある場合にも、生じ得る。
別の実施形態においては、帰還RF電流は、基板サポート104の位置に基づいて、RFデバイス109aに比してRFデバイス109bを優先的に越えて流れ得る。RFデバイス109bを優先的に越える流れは、複数のRFデバイス109aが基板サポート104およびチャンバ本体102に連結され、それらと電気接続状態にある場合にも、生じ得る。一実施形態においては、RFデバイス109aは、基板サポート104が第1のまたは下方の位置にある場合に、側壁117bおよび基板サポート104から引き離される。この実施形態においては、RF電流のための唯一のリターンパスが、RFデバイス109bを越えるものであってもよい。別の実施形態においては、RFデバイス109bは、様々な電気特性を有するように構成されてもよく、または基板サポート104が第2のもしくは高い位置にある場合にRF電流に対して開くように構成されてもよい。この実施形態においては、RFリターンパスは、RFデバイス109aを優先的に越えて帰還するRF電流から構成される。別の態様においては、帰還RF電流は、最短リターンパスに基づいて、複数のRFデバイス109aおよび複数のRFデバイス109bの一方または両方を越えて流れる。
図2Aは、可撓性ケーブル、シート材料、またはストラップ200として構成されるRFデバイス109bの一実施形態の概略断面図である。ストラップ200の第1の端部238が、連結アセンブリ230により基板サポート104に対して電気的に結合される。一実施形態においては、連結アセンブリ230は、基板サポート104の下方側面240に連結される。RFデバイス109bの第2の端部239が、連結アセンブリ229によりチャンバ底部117aに電気的に結合される。RFデバイス109bは、例えばねじ、クランプ、または基板サポート104とRFデバイス109bとチャンバ底部117aとの間の電気的接続を維持する他の方法などの固定具235、236など、他の機構によって、基板サポート104およびチャンバ底部117aに結合されてもよい。図2Bに図示されるように、連結アセンブリ230は、成形クランプ232および1つまたは複数の固定具235を備える。また、連結アセンブリ229は、成形クランプ231および1つまたは複数の固定具236を備える。
連結アセンブリ229、230はそれぞれ、処理化学物質および洗浄化学物質に対して耐性を有する低インピーダンス導電性材料を含む。一実施形態においては、連結アセンブリ229、230は、アルミニウムを含む。代替的には、これらの材料は、チタン、ニッケル、ステンレス鋼、合金、それらの組合せ、または他の適切な材料を含んでもよい。別の実施形態においては、連結アセンブリ229、230のための材料は、HASTELLOY(登録商標)材料またはHAYNES(登録商標)242(登録商標)材料などの、ニッケル‐モリブデン‐クロム合金を含んでもよい。
図2Bは、図2Aに図示されるストラップ200の立面図を概略的に示す。ストラップ200は、可撓性であり、曲げられた場合に大きな復元(例えばばね)力をかけない、概して平坦な導電性バンドである。一実施形態においては、ストラップ200は、処理化学物質および洗浄化学物質に対して耐性を有する可撓性の低インピーダンス導電性材料を含む。一実施形態においては、ストラップ200は、アルミニウムから構成される。代替的には、ストラップ200は、チタン、ニッケル、ステンレス鋼、ベリリウム銅、合金、または、アルミニウムもしくは導電性金属のシースまたはコーティングでコーティング、ラッピング、あるいはクラッディングされたそれらの組合せを含んでもよい。別の実施形態においては、ストラップ200は、HASTELLOY(登録商標)材料またはHAYNES(登録商標)242(登録商標)材料などの、ニッケル‐モリブデン‐クロム(Ni‐Mo‐Cr)合金を含んでもよい。このNi‐Mo‐Cr合金材料は、アルミニウムまたは導電性金属シースもしくは導電性金属コーティングにより、コーティング、ラッピング、またはクラッディングされてもよい。
一実施形態においては、ストラップ200の第1の端部238は、設置スロット233を有し、第2の端部239は、設置スロット234を有する。一実施形態においては、ストラップ200は、ストラップ200の可撓性を高めるおよび/または図1A〜図1Bに図示されるリフトピン110a〜110dのシャフトなどのリフトピンシャフトの遊びを促進するように構成された、中央スロット237を有する。一態様においては、中央スロット237は、RFデバイス109bがリフトピンに隣接する場合に、ストラップ200の曲げを促進するように、リフトピンシャフトの直径よりも大きくサイズ設定される。
図3Aは、可撓性ケーブル、シート材料、またはストラップ300として構成されたRFデバイス109aの一実施形態の概略断面図である。一実施形態においては、図3Aに図示されるRFデバイス109aは、側壁117bが平坦または連続的であり、基板搬送ポートを含まないチャンバ部分に、基板サポート104と側壁117bとの間の導電性パスを与えるために、チャンバ本体102において使用されてもよい。RFデバイス109aの各端部は、図2Aの連結アセンブリ229および230と同様に構成された連結アセンブリ329および330を備える。固定具335および336は、それぞれ基板サポート104およびチャンバ本体の側壁117bにRFデバイス109aを結合する。ストラップ300は、可撓性であり、曲げられた場合に大きな復元(例えばばね)力をかけない、概して平坦な導電性バンドである。一実施形態においては、RFデバイス109aは、処理化学物質および洗浄化学物質に対して耐性を有する可撓性の低インピーダンス導電性材料を含む。一実施形態においては、ストラップ300は、アルミニウムから構成される。代替的には、ストラップ300は、チタン、ニッケル、ステンレス鋼、ベリリウム銅、合金、または、アルミニウムまたは導電性金属シースもしくは導電性金属コーティングでコーティング、ラッピング、あるいはクラッディングされたそれらの組合せを含んでもよい。別の実施形態においては、ストラップ300は、HASTELLOY(登録商標)材料またはHAYNES(登録商標)242(登録商標)材料などの、ニッケル‐モリブデン‐クロム(Ni‐Mo‐Cr)合金を含んでもよい。このNi‐Mo‐Cr合金材料は、アルミニウムまたは導電性金属シースもしくは導電性金属コーティングにより、コーティング、ラッピング、またはクラッディングされてもよい。
この実施形態においては、基板サポート104の位置は、上昇位置であり、これが、処理位置であってもよい。基板サポート104の上昇位置は、チャンバ底部117aから基板サポート104を離間させ、これが、RFデバイス109bを伸張させ、直線状にさせ、または延在させる。一実施形態においては、RF電流のための低抵抗性パスは、RFデバイス109bの延在配向によって課せられる比較的大きな距離および/または抵抗に基づいて、RFデバイス109aに沿ったものであってもよい。一例においては、帰還RF電流のための低抵抗性パスは、帰還RF電流がRFデバイス109bに沿うのではなくRFデバイス109aに沿って優先的に進み得るように、矢印の方向へのものであってもよい。他の実施形態においては、帰還RF電流の少なくとも一部分が、RFデバイス109aおよび109bの一方または両方に沿って進んでもよい。
図3Bは、図3AのRFデバイス109aおよび109bの概略断面図である。この実施形態においては、基板サポート104は、下降位置にあり、これは、搬送位置または洗浄位置であってもよい。基板サポート104の下降位置は、基板サポート104をチャンバ底部117aの近傍に置き、RFデバイス109aは、伸張され、直線状になされ、または延在される。一実施形態においては、RF電流のための低抵抗性パスが、RFデバイス109aの延在配向によって課せられる比較的大きな距離および/または抵抗に基づいて、RFデバイス109bに沿ったものであってもよい。一例においては、帰還RF電流のための低抵抗性パスは、帰還RF電流がRFデバイス109aに沿うのではなくRFデバイス109bに沿って優先的に進み得るように、矢印の方向へのものであってもよい。他の実施形態においては、帰還RF電流の少なくとも一部分が、RFデバイス109aおよび109bの一方または両方に沿って進んでもよい。
図4は、プラズマ処理システム400の別の実施形態の概略断面図である。プラズマ処理システム400の複数部分が、図1Aおよび図1Bに図示されるプラズマ処理システム100と同様であり、簡略化するために繰り返して説明しない。この実施形態においては、基板サポート104は、搬送位置において示される。この実施形態においては、側壁117bの少なくとも1つが、ロボットまたはエンドエフェクタ(図示せず)などの工場搬送デバイス上に保持された基板101の通過を可能にするようにサイズ設定された基板搬送ポート412を備える。搬送ポート412は、スリット弁として構成されてもよく、基板搬送の際には搬送ポート412を開き、閉じられた際には処理容積部111をシールする、シール可能ドア410を備える。1つまたは複数のリフトピン110a〜110dは、基板101がポート412を介してエンドエフェクタ(図示せず)から受けられる際に、および基板がエンドエフェクタによって受けられ得る場合に、基板101を支持するように、基板サポート104を貫通して延在する。
側壁区域405が、搬送ポート412に隣接して示される。側壁区域405は、チャンバ本体102の側壁117bの他の部分には存在しない、搬送ポート412が側壁117bの内方表面125中に形成された通路または空部を備えることにより、側壁117bの他の部分とは異なる。例えば、チャンバ本体が、矩形である場合には、3つの側壁117bが、平坦であり、および/または実質的に平坦状かつ連続的な内方表面125を備える一方で、第4の側壁117bは、搬送ポート412を画成する通路により、非平坦および/または非連続的な側壁区域405を備える。3つの側壁の内方表面125と側壁区域405の内方表面との間の違いにより、非調和的なRFリターンパターンがもたらされる。一例においては、チャンバに印加されるRF電力は、処理容積部111内において対称的に進まない。一態様においては、搬送ポート412の存在により、搬送ポート412を画成する通路またはスペースがRF電流を導電しないことによりRF電流が集中しない場合があるかまたは最低となるスペースがもたらされる。これにより、ポート412にまたはその付近に非均一なプラズマが得られ、基板101の他の部分に比べて搬送ポート412のまたはその付近の区域において基板101上に非均一な蒸着がもたらされる。これらの種々の側壁は、RFリターンを最適化するおよび/または側壁区域405におけるアーク放電を防ぐために、それぞれ異なるRFリターン方式を要する。一実施形態においては、搬送ポート412を備えない3つの側壁117bが、図3Aおよび図3Bに図示されるようにストラップ300を使用するRFデバイス109aを備えてもよい。しかし、搬送ポート412に隣接する基板サポート104の側のRFデバイス109aは、一実施形態においては、リフトピン110a〜110dと搬送ポート412との間に基板搬送のために開いたアクセス部を与えるために、基板サポート104と共に移動するようになされる。
この実施形態においては、RFデバイス109aの少なくとも一部分が、複数の圧縮可能接触部材415として図示される。この圧縮可能接触部材415は、基板サポート104に直接結合されてもよく、またはブラケット452により結合されてもよい。したがって、圧縮可能接触部材415は、基板サポート104と共に移動可能である。一実施形態においては、接触部材415はそれぞれ、チャンバ本体102の側壁117bに結合された1つまたは複数のプレートまたは延在部材458に接触するようになされた接触部分456を備える。一態様においては、延在部材458はそれぞれ、チャンバ本体102の内方表面125から延在する複数の離散プレートを備える。一実施形態においては、接触部分456および延在部材458は、導電性材料を含み、電流のためのパスを与えるために使用される。また、圧縮可能接触部材415はそれぞれ、基板サポート104の上昇に基づき、接触部分456と各延在部材458との間の接触に応じて圧縮および膨張または復元するようになされた弾性部分454を備える。一実施形態においては、プラズマ処理システム400は、処理の際に基板101および基板サポート104の基板受け表面の外周部の少なくとも一部分を囲むようになされたシャドーフレーム460を含む。基板サポート104が、図示されるように搬送位置にある場合には、シャドーフレーム460は、延在部材458の上方表面上に位置してもよい。
図5は、処理位置にある基板101を示す、図4の処理システム400の概略断面図である。エンドエフェクタ(図示せず)が、図4に図示されるようにリフトピン110a〜110d上に基板101を配置した後に、エンドエフェクタは、処理容積部111から引き戻され、ドア410は、搬送ポート412をシールするように閉じられてもよい。次いで、基板サポート104は、上昇し、リフトピン110a〜110dは、基板サポート104が処理位置にくるまで静止状態に留まる。基板サポート104が、処理位置に移動する間に、基板サポート104は、リフトピン110a〜110dにより支持される基板101と接触状態になる。基板101は、基板101の弛みにより、中央部から縁部の順に基板サポート104に接触し始める。リフトピン110a〜110dは、リフトピン110a〜110dにより支持される基板101が基板サポート104より支持されるように基板サポート104がある位置まで上昇されるまで、基板サポート104が上昇する際には、静止状態に留まる。
基板サポート104を上昇させることにより、リフトピン110a〜110dは、基板受け表面上の基板101を実質的に平坦な配向に置くために、基板サポート104の基板受け表面に対して下げられる。シャドーフレーム460が使用される実施形態においては、シャドーフレーム460は、基板101および/または基板サポート104を囲むために、休止位置からシャドーフレーム460を引き上げるように、基板101および/または基板サポート104により接触される。基板101が基板サポート104に接触した後のある時点において、上述のような前処理プロセスが、基板101に対して実施されてもよい。また、基板サポート104を引き上げることにより、RFデバイス109aの接触部分456と延在部材458との間の接触がもたらされる。したがって、帰還RF電流は、この実施形態においてはRFデバイス109aおよび/または109bによって促進され得る。
図6は、RFデバイス109aの位置決めの一実施形態を示すために、図5の線6‐6に沿って見たチャンバ本体102の概略断面上面図である。チャンバ本体102は、基板サポート104が中に配設された状態で示され、RFデバイス109aは、チャンバ本体102の内側表面と基板サポート104との間のスペース内に配設される。接触部分456は、印加されるRF電力のためのRFリターンパスを与えるために、延在部材458(4つが仮想線において示される)に接触するようになされる。RFデバイス109aの間隔および密度は、プラズマ均一性および基板101(仮想線で示される)上における向上した蒸着均一性を促進するために、RFリターンパスに対称性をもたらすように構成される。
一実施形態においては、RFデバイス109aの間隔および密度は、搬送ポート412により画成される通路など、チャンバ構造の多様性に対応するために印加されるRF電力に対して対称的外観をもたらすようになされる。この間隔および密度により、チャンバが物理的におよび/または電気的に対称的ではない可能性がある場合に、印加されるRF電力は、処理容積部111中において対称的に進むことが可能となる。一態様においては、RFデバイス109aおよび延在部材458はそれぞれ、所望の位置にて基板サポート104に結合され得る、および所望に応じて目下の位置から移動または除去され得る、個別のまたはモジュール式のユニットとしてなされる。モジュール式になされることにより、RFリターンパスは、所望に応じてRFデバイス109aを追加、除去、または再位置決めすることにより調節することが可能となる。一実施形態においては、RFデバイス109aは、基板サポート104の外周部の周りに実質的に均一に離間される。他の実施形態においては、RFデバイス109aは、必要に応じて基板サポート104の様々な位置に追加されてもよく、または様々な位置から除去されてもよい。
図7Aおよび図7Bは、圧縮可能接触部材415として図示されるRFデバイス109aの一実施形態の、それぞれ等角図および側面図である。この実施形態においては、圧縮可能接触部材415は、ブラケット452(仮想線にて示される)に結合され得るベース705上に設置される。別の実施形態においては、接触部材415は、ブラケット452の一部となるように一体化されてもよい。また、ブラケット452は、基板サポート104(図示せず)に結合される。一実施形態においては、ベース705は、第1のシャフト707を受けるようになされた開口706を備える。第1のシャフト707は、ベース705と第1のシャフト707との間の相対移動を可能にするように、開口706を貫通して移動可能に配設される。第1のシャフト707は、ばね形状物710Bの内部に受けられる第2のシャフト709に結合される。カラー713が、ばね形状物710Bのためのベースを形成するために、第2のシャフト709に結合される。一実施形態においては、第1のシャフト707は、図7Bにおいて750として示される移動距離の範囲内の任意の位置まで移動可能である。移動距離750は、基板サポート104が、基板サポート104とチャンバ本体102との間の電気的接触または接地電位を維持しつつ、様々なプロセスの際に調節され得る距離範囲に相当する。
圧縮可能接触部材415は、ばね形状物710Aおよび710Bとしてこの実施形態においては図示される少なくとも1つの弾性部分を備える。ばね形状物710A、710Bは、圧縮可能接触部材415に弾性を与える一方で、ばね形状物710Aは、電流のための導電性パスをさらに与える。一実施形態においては、ばね形状物710Bは、ばね形状物710Bを収容し、ベース705に結合するための設置接続部を形成する、設置部分714を有する管状部材712に結合される。
圧縮可能接触部材415は、第1のシャフト707のヘッド部分716に結合された接触パッド715を備える。ばね形状物710Aの第1の端部は、接触パッド715に結合され、電気接続状態にあり、一実施形態においては、ヘッド部分716と接触パッド715との間に挟まれる。ボルトまたはねじなどの固定具が、ヘッド部分716に接触パッド715を結合するために使用されてもよい。ばね形状物710Aの第2の端部は、接触パッドキャップ717によりベース705に結合され、電気接続状態にあり、一実施形態においては、この接触パッドキャップ717は、ベース705に対してばね形状物710Aを挟む。ボルトまたはねじなどの固定具が、ベース705に接触パッドキャップ717を結合するために使用されてもよい。
図7Aおよび図7Bを参照すると、ばね形状物710A、710Bは、電流を搬送または伝導する特性を有する導電性材料または複合材料を用いて作製された可撓性材料であってもよい。一実施形態においては、この可撓性材料は、シート金属またはフォイルなどのシート材料、ケーブルあるいはワイヤ、およびそれらの組合せ、または他の導電性弾性部材もしくは導電性材料であってもよい。ばね形状物710A、710Bは、本明細書において説明されるようなプラズマ処理システム100および400内の処理環境にさらされてもよく、その可撓性材料は、処理環境において直面する極限状況に耐え、作動するように、選択される。一実施形態においては、ばね形状物710A、710Bのための可撓性材料は、処理条件の際に機械的完全性およびばね特性などの可撓性特性を実質的に維持する金属または合金であってもよい。一態様においては、ばね形状物710A、710Bのための第1のまたは芯部の可撓性材料は、その可撓性材料が例えば約250℃超から約300℃の温度などの、200℃を上回る温度に達した場合に、可撓性特性を実質的に保持する任意の金属または合金を含む。一実施形態においては、200℃超のまたは300℃以下の温度にて保持される第1のまたは芯部の可撓性材料の可撓性特性は、周囲温度における中心材料の可撓性特性と実質的に同様である。
いくつかの実施形態においては、可撓性材料は、板ばねデバイス、コイルばねデバイス、圧縮ばねデバイス、もしくは他の可撓性ばねデバイス、またはばね形状物の形態であってもよい。一実施形態においては、ばね形状物710A、710Bは、金属製材料または合金を含み、これらはさらに、導電性材料によりコーティング、ラッピング、またはクラッディングされてもよい。金属および合金の例には、ニッケル、ステンレス鋼、チタン、MONEL(登録商標)材料、HASTELLOY(登録商標)材料、HAYNES(登録商標)242(登録商標)材料などのHAYNES(登録商標)合金、ベリリウム銅、または他の導電性弾性材料が含まれる。コーティング、ラッピング、またはクラッディングのための導電性材料の例には、アルミニウム、陽極酸化アルミニウム、または他のコーティング、フィルム、もしくはシート材料が含まれる。一実施形態においては、ばね形状物710Aは、アルミニウム材料でラッピングまたはカバーされたニッケルまたはチタンの合金シート材料を含む。別の実施形態においては、ばね形状物710Aは、HASTELLOY(登録商標)材料またはHAYNES(登録商標)242(登録商標)材料などのNi‐Mo‐Cr合金を含む。Ni‐Mo‐Cr合金材料は、アルミニウムまたは導電性金属シースもしくは導電性金属コーティングによりコーティング、ラッピング、またはクラッディングされてもよい。一実施形態においては、ばね形状物710Bは、MONEL(登録商標)400材料を含む一方で、ばね形状物710Aは、アルミニウムフォイルによりラッピングされたHAYNES(登録商標)242(登録商標)材料を含む。
ベース705、パッド715、キャップ717、第1のシャフト707、および管状部材712は、導電性材料から作製されてもよく、さらには、導電性材料によりコーティングまたはラッピングされてもよい。導電性材料の例には、アルミニウム、陽極酸化アルミニウム、ニッケル、チタン、ステンレス鋼、それらの合金、またはそれらの組合せが含まれる。一実施形態においては、パッド715、キャップ717、第1のシャフト707、および管状部材712は、陽極酸化アルミニウム材料、またはニッケル、チタン、ステンレス鋼、それらの合金、もしくはそれらの組合せなどの導電性材料から作製され、アルミニウムなどの導電性材料によりコーティング、ラッピング、またはクラッディングされる。
図7Cは、断面における図7Bのばね形状物710Aの一部分の拡大図である。一実施形態においては、ばね形状物710Aは、第1のまたは芯部の材料770および第2のまたは外方の材料775を含む。一実施形態においては、芯部の材料770および外方の材料775は、プロセス化学物質およびプロセス環境に対して耐性を有する導電性材料などの同一材料を含む。例えば、芯部の材料770および外方の材料775は、アルミニウムを含んでもよい。アルミニウム材料は、プロセス化学物質に対して高い耐性を有する導電性外方カバーを形成する。しかし、アルミニウム材料の物理的および/または機械的特性は、高温および/または繰り返される圧縮および復元で劣化する場合がある。一例においては、アルミニウムは、温度上昇と共に高まる引張強度および弾性率(ヤング率)などの特性を有する。さらに、アルミニウムの降伏応力は、約205℃を上回る温度にて急激に低下する場合があり、さらなる高温にてさらに著しく低下する。例えば、約200℃またはそれ以上の温度におけるアルミニウムの最大引張強度値は、約40%から約60%であり、周囲温度におけるアルミニウムの最大引張強度値を下回る。したがって、アルミニウムは、ばね形状物710Aについて使用されてもよいが、反復サイクル(圧縮および復元)および/または高温により、延性の低下が引き起こされる場合があり、ばね形状物710Aの故障を招く場合がある。
別の実施形態においては、芯部の材料770は、外方の材料775とは異なり、外方の材料775は、芯部の材料770により支持される。一実施形態においては、芯部の材料770は、高温にて物理的および/または機械的特性を維持する材料を含む一方で、外方の材料775の物理的および/または機械的特性は、高温にて低下し得る。一態様においては、約200℃を上回る温度に維持される芯部の材料770の可撓性特性および/または延性特性は、周囲温度における芯部の材料770の可撓性特性および/または延性特性と実質的に同様である。例えば、外方の材料775は、アルミニウムであってもよい一方で、芯部の材料770は、合金であってもよい。一実施形態においては、芯部の材料770は、周囲温度または室温(例えば約25℃)においては、芯部の材料770が約200℃以上の温度に達した場合と実質的に同一の特性を有する。一態様においては、芯部の材料770は、室温にて約1250MPaから約1290MPaの最大引張強度を有し、約425℃にて約1050MPaから約1100MPaの最大引張強度を有する。したがって、芯部の材料770の最大引張強度は、室温と約200℃との間の温度では実質的に変化せず、したがって芯部の材料770は、高温では機械的完全性を維持する。一実施形態においては、約200℃にて、芯部の材料770は、周囲温度で有する物理的特性および/または機械的特性のほぼ85%を維持する。別の実施形態においては、約200℃にて、芯部の材料770は、周囲温度で有する物理的特性および/または機械的特性の約95%など、ほぼ90%以上を維持する。
芯部の材料770は、約200℃超の温度においてアルミニウムの外方材料775を上回る機械的特性および/または物理的特性をもたらす。一態様においては、芯部の材料770および外方の材料775は、外方の材料770が高温および/または繰り返される圧縮および復元により芯部の材料よりも短い期間で疲労限度に達し得るため、異なる。一実施形態においては、芯部の材料770は、HASTELLOY(登録商標)材料またはHAYNES(登録商標)242(登録商標)材料などのNi‐Mo‐Cr合金から作製される。Ni‐Mo‐Cr合金は、200℃超の温度において(特に例えば約210℃から約300℃の間などの約205℃を上回る温度にて)優れた延性および降伏強度の維持を示す。これらの高温では、剛性アルミニウムばね形状物710Aは、延性の低下を被り得る。しかし、外方の材料775(アルミニウム)は、芯部の材料770に結合されるコーティングまたはフォイルの形状であってもよく、外方の材料775のいかなる弱体化も、芯部の材料770の機械的安定性に影響を及ぼさない。したがって、ばね形状物710Aは、弾性であり、高温にてばね形状物710Aの機械的完全性を維持する。Ni‐Mo‐Cr合金材料は、特にフッ素含有環境における優れた耐腐食性特性を有するが、外方の材料775は、処理容積部111内のプラズマおよび/またはガスから芯部の材料770を保護することができる。
図8Aは、チャンバ本体102の内側から見た場合の、複数の圧縮可能接触部材415のための結合構成の一実施形態の等角断面図である。基板サポート104は、接触パッド715(この図においては図示せず)が側壁117bの内方表面125から延在する延在部材458と接触状態にあるような上昇位置において示される。この実施形態においては、圧縮可能接触部材415はそれぞれ、個々のブラケット452に結合される。ブラケット452はそれぞれ、基板サポート104に結合される。ブラケット452は、搬送ポート412に隣接するRFリターンパスを調整するために、所望に応じて追加または除去されてもよい。
図8Bは、図8Aのチャンバ本体102の一部分の上面図である。接触パッド715の一部分が、延在部材458の下方に示される。圧縮可能接触部材415は、チャンバ本体102の側部と基板サポート104との間においてアクセス可能であることを指摘しておく。したがって、基板サポート104が、搬送ポート412の下方の位置まで下げられると、圧縮可能接触部材415は、作業員による維持管理、検査、交換、または除去のために、チャンバ本体102において基板サポート104の上方の位置から搬送ポート412を介してアクセスされ得る。一実施形態においては、ブラケット452にベース705を結合する2つの固定具780が、ブラケット452からベース705を係合解除するために除去されてもよい。したがって、圧縮可能接触部材415は、それぞれ2つの固定具780の取外しまたは装着により容易に除去または交換され得る。
図9Aは、ブラケット452に結合された圧縮可能接触部材900の別の実施形態の等角図である。この実施形態においては、ブラケット452は、基板サポート104に結合されるバーとして構成される。この実施形態においては、圧縮可能接触部材900は、3つのばね形状物910A〜910Cを除けば、図8A〜図8Dに図示される圧縮可能接触部材415と同様である。ばね形状物910A、910Bは、電流を搬送または伝導する特性を有する材料から作製されてもよい。一実施形態においては、ばね形状物910A〜910Cはそれぞれ、図8A〜図8Dに図示されるばね形状物710A、710Bと同一の材料から作製されてもよい。
一実施形態においては、ばね形状物910A、910Bは、2つの端部905A、905Bを有する連続的な単一シート材料または単一板ばねであってもよい。代替的には、ばね形状物910A、910Bは、接触パッド715で各端部にて結合された、2つの別個の不連続片のシート材料または2つの板ばねであってもよい。この実施形態においては、管状部材712内に配設される第2のシャフト709に結合されたカラー713が示される。カラー713は、アルミニウムまたは陽極酸化アルミニウムなどの導電性材料から作製されてもよい。カラー713は、ナットを備えてもよく、または第2のシャフト709に固定されるようになされた止めねじのためのねじ部分を備えてもよい。第2のシャフト709は、ばね形状物910Cが上に嵌められ得るように、直径などの寸法が縮小されたものであってもよい。
図9Bは、図9Aに図示される圧縮可能接触部材900の分解等角図である。この実施形態においては、ばね形状物910Dが、単一の連続シート材料または単一の板ばねである。ばね形状物910Dは、ばね形状物710Aを参照として説明される同一の材料から製造されてもよい。
図9Cおよび図9Dは、ブラケット452の一部である1つまたは複数のベース705を備えるブラケット452の一実施形態の等角図である。この実施形態においては、ブラケット452は、基板サポート104に結合される長尺バーとして構成される。さらに、ブラケット452は、所望に応じて追加の圧縮可能接触部材900を結合するために使用され得る空ベース915を備え、それにより、圧縮可能接触部材のモジュール性が高まる。
図10Aは、圧縮可能接触部材1000の別の実施形態の概略図である。この実施形態においては、圧縮可能接触部材1000は、ポート412に隣接するチャンバ本体102の内側部分から示される。チャンバ本体102の内側から見ると、ポート412は、側壁1002を貫通して形成されたトンネル1008を備え、側壁1002は、トンネル1008の上方部分1004および下方部分1006により画定される。圧縮可能接触部材1000は、接触パッド715およびベース1005に結合されるばね形状物1010A、1010Bを備える。ばね形状物910A、910Bは、ばね形状物810Aおよび810Bを参照として説明される同一の材料から作製されてもよい。ばね形状物1010A、1010Bは、ばね形状物710Aを参照として説明される同一の材料から作製されてもよい。
ベース1005は、ブラケット452および/または基板サポート104に結合されるが、これらは共に、明瞭化のためにこの図には図示されない。上昇位置において、接触パッド715は、チャンバ本体102の内側壁1002に固定的に結合された延在部材458の接触表面1060に接触するようになされる。圧縮可能接触部材1000は、基板サポートに結合され、この図においては上昇位置において示されるので、基板サポートは、圧縮可能接触部材1000および延在部材458の複数部分を見えにくくしている。基板サポートが、基板搬送作業のために下げられると、圧縮可能接触部材1000は、圧縮可能接触部材1000のいかなる部分によってもポート412にて搬送作業を阻害されることがないように、基板サポート104と共に移動する。
図10Bは、圧縮可能接触部材1000の別の実施形態の概略図である。圧縮可能接触部材1000は、図10Aの図と同様に、ポート412にてチャンバ本体102の内側部分から示される。圧縮可能接触部材1000は、接触パッド715およびベース1005に結合されたばね形状物1010A、1010Bを備える。ベース1005は、ブラケットおよび/または基板サポートに結合されるが、基板サポートの存在により圧縮可能接触部材1000が見えにくくなるため、ブラケットおよび/または基板サポートは共に図示されない。この実施形態においては、ばね形状物1010A、1010Bは、スペーサ1018に結合される。ばね形状物1010A、1010Bは、ばね形状物710Aを参照として説明される同一の材料から作製されてもよい。
図11Aおよび図11Bは、基板サポート104に結合される図10Aの圧縮可能接触部材1000の別の実施形態を示す、チャンバ本体102の一部分の側面断面図である。図11Aは、上昇質において圧縮可能接触部材1000および基板サポート104を示し、図11Bは、下降位置において圧縮可能接触部材1000および基板サポート104を示す。上述のように、基板サポート104が、下降位置にある場合には、圧縮可能接触部材1000のいかなる部分も、ポート412を阻害する位置にはない。
図12Aは、圧縮可能接触部材1200の別の実施形態の等角側面図である。接触部材1200は、単一のばね形状物1210を備える。単一のばね形状物1210は、連続的な平坦材料片の形態であってもよく、ばね形状物710Aを参照として説明されるものと同一の材料を含んでもよい。この実施形態においては、ばね形状物1210は、ばね形状物1210の圧縮力を上昇させるようになされた1つまたは複数の屈曲部1215A〜1215Cを備える。この実施形態においては、屈曲部1215A〜1215Cはそれぞれ、実質的なミラーイメージにおいて、ばね形状物1210の反対側に対応する屈曲部を備える。一実施形態においては、図12Aに図示されるばね形状物1210は、オメガ記号(Ω)と同様の形状を備える。オメガ形状ばね形状物1210は、ばね形状物1210の寿命を延ばすことが判明している。
図12B〜図12Eは、図12Aに図示される接触部材1200と共に使用し得るばね形状物1210の種々の実施形態の側面図である。ばね形状物1210はそれぞれ、ばね形状物710Aを参照として説明されるものと同一の材料を含んでもよい。
図13Aおよび図13Bは、圧縮可能接触部材1300の別の実施形態の断面図である。接触部材1300は、ばね形状物170Aを参照として説明されるものと同一の材料を含んでもよく、図12A〜図12Eに図示される形状のいずれかを備え得る、ばね形状物1310を備える。接触部材1300は、ばね形状物190Cを備え、図7Aおよび図7Bに図示されるばね形状物710Bと同一の材料から作製されてもよい。接触部材1300は、ローラアセンブリ1305および内方管状部材1308を除けば、図9Aおよび図9Bに図示される接触部材の構造と同様の構造を備える。内方管状部材1308は、図13Cに図示されるように第2のシャフト709を受けるようになされる。
ローラアセンブリ1305は、各シャフト1325によりハウジング1320に連結される1つまたは複数のローラまたは軸受1315を備える。軸受1315はそれぞれ、ハウジング1320中に形成された空洞部1330内に少なくとも部分的に配設されるようになされる。軸受1315の少なくとも一部分は、ばね形状物1310が圧縮されるか復元される際に、管状部材712の内方表面に接触するようになされる。ハウジング1320は、ばね形状物910Cのための停止部として構成され、ばね形状物910Cの対向側部のための停止部としてなされた下方ハウジング1335を備える。
図13Cは、図13Aおよび図13Bに図示される接触部材1300の分解等角図である。ばね形状物1310は、明瞭化のためこの図には図示されない。この実施形態においては、接触パッド715は、アルミニウムから作製され、アルミニウムから作製される固定具1345によりばね取付部1340に結合される。一実施形態においては、第1のシャフト707およびハウジング1320は、一体パーツに一体化され、アルミニウムまたはセラミック材料から作製される。軸受1315は、軸受1315は、アルミニウムまたはセラミック材料から作製されてもよい。ばね形状物910Cは、HASTELLOY(登録商標)材料から作製されてもよく、管状部材712の内径内に受けられ、この管状部材712は、セラミックまたはアルミニウム材料から作製されてもよい。内方管状部材1308は、ばね形状物910Cの内径と第2のシャフト709の外径との間に受けられる。内方管状部材1308は、セラミック材料から作製されてもよく、パーティクルの形成を低減させるようになされる。例えば、第2のシャフト709および内方管状部材1308が共に、セラミック材料から作製される場合には、パーティクルの形成は、セラミック表面間の相互作用により低減される。さらに、セラミック材料を使用することにより、アルミニウムに比べて摩損が低減され、これにより寿命が延び、パーティクルの形成が低減される。
図14Aおよび図14Bは、基板サポート104に結合される圧縮可能接触部材1400の別の実施形態の等角図である。図14Cは、図14Aおよび図14Bに図示される接触部材1400の側面断面図である。接触部材1400は、図7Aおよび図13Aに図示されるように圧縮ばねを使用することなく、接触部材1400に可撓性を与えるように構成されたばね形状物1410を備える。ばね形状物1410は、ばね形状物710Aを参照として説明されるものと同一の材料を含んでもよい。
接触部材1400は、基板サポート104の側部1420および/または底部1425に掛止および/または固定するようになされたブラケット1415を備える。接触部材1400は、ブラケット1415中に形成された開口1428により少なくとも部分的に受けられる第2のシャフト709を備える。第2のシャフト709およびブラケット1415の構成により、ばね形状物1410は、完全に延在または復元するのを防止され、またばね形状物1410に予め負荷を与える。接触部材1400は、ばね形状物1410に結合するようになされたクランプ1430A、1430Bを備える。さらに、接触部材1400は、第2のシャフト709のためのガイドとして構成され得る1つまたは複数のブシュ1435を備える。ブラケット1415およびクランプ1430A、1430Bは、アルミニウムから作製されてもよく、第2のシャフト709およびブシュ1435は、セラミック材料から作製されてもよい。
図14Dは、圧縮位置における、図14Aおよび図14Bに図示される接触部材1400のばね形状物1410の側面断面図である。図14Eおよび図14Fは、ばね形状物1410の設置または除去を示す、図14Aおよび図14Bに図示される接触部材1400の等角図である。一実施形態においては、ばね形状物1410は、押圧され、第2のシャフト709は、ブラケット1415から除去される。ねじまたはピンなどの固定具1440が、キーパとしてなされてもよく、第2のシャフト709上にばね形状物1410を保持するために、第2のシャフト709の上方部分内に挿入されてもよい。
図14Gは、上昇位置において基板サポート104に結合された、図14Aおよび図14Bに図示されるような接触部材1400の側面断面図である。接触パッド715は、搬送ポート412の上方の側壁117bの内方表面上に配設された延在部材458に接触した状態で示される。基板サポートが下げられるのに伴い、接触部材1400は、基板サポートと共に移動し、搬送ポート412の内側の区域が、基板搬送に対して障害がなくなる。
図15は、プラズマ処理システム1500の別の実施形態の概略断面図である。処理システム1500は、チャンバ本体102の内側壁1002に結合される複数の圧縮可能接触部材1505を除けば、図1および図4において説明される処理システム100および400と実質的に同様である。圧縮可能接触部材1505は、上述のように、圧縮可能接触部材415、900、1000、1200、1300、または1400と同様に構成されてもよい。この実施形態においては、圧縮可能接触部材1505はそれぞれ、接触部分1556および弾性部分1554を備え、これらは、上述の弾性部分454および接触部分456の実施形態と実質的に同様である。圧縮可能接触部材1505は、チャンバ本体102の内側部上に配設された延在部材458に結合される。シャドーフレーム460が使用され得る実施形態においては、シャドーフレーム460は、延在部材458上に位置してもよい。圧縮可能接触部材1505の任意の可動部分に遊びを与えるために、穴またはスロットが、シャドーフレーム460の外周部中に設けられてもよい。
この実施形態においては、接触部分1556は、基板サポート104が上昇位置にある場合に基板サポート104上に配設されたブラケット1552に接触するようになされる。一態様においては、チャンバ本体の温度は、基板サポート104の温度よりも低くてもよい。したがって、チャンバ本体102に圧縮可能接触部材1505を結合することにより、圧縮可能接触部材が基板サポート104に結合される際に受ける温度とは対照的に、さらに低い温度に圧縮可能接触部材1505がさらされる。圧縮可能接触部材1505のこのさらなる低温により、圧縮可能接触部材1505の寿命が延びる場合がある。
本明細書において説明されるRFデバイス109aおよび109bの実施形態は、基板サポート104の様々な位置レベルにRFリターンを与えることにより、従来的なグラウンド/リターン方式よりも優れた代替形態をもたらす。典型的には、従来のPECVD基板サポートは、チャンバ底部に連結されるグラウンドストラップのみにより接地される。この接地方法は、非常に長いストラップを使用し、このストラップは、帰還RF電流に対して大きな抵抗を課す場合があり、それにより高電位が、チャンバの側壁と基板サポートとの間に生成され得る。この比較的高い電位は、チャンバの側壁と基板サポートとの間にアーク放電をもたらし得る。さらに、搬送ポートを有するチャンバの側壁に隣接するグラウンドストラップは、基板搬送プロセスの際に妨げとなり得る。チャンバの側壁の中の1つの中に搬送ポート412が存在することにより、RFリターンパスにおいて比較的大きな非対称性が生じる。本明細書において説明されるようなRFデバイス109aおよび圧縮可能接触部材の実施形態により、サセプタは、スリット弁開口の上方にてチャンバに接地することが可能となり、これにより、グラウンドパスは短縮化され、チャンバの全ての側に同様のまたは対称的なグラウンドパスを促進するようになされ得る。さらに、本明細書において説明されるようなRFデバイス109a、109bおよび圧縮可能接触部材の実施形態により、接地電位を維持しつつ基板サポートの高さを調節することが可能となり、これにより、基板サポートは、蒸着プロセス、蒸着後プロセスまたは蒸着前プロセス、および洗浄プロセスのために、比較的大きな間隔距離範囲にわたり接地され得る。
本明細書において説明されるような圧縮可能接触材料の実施形態により、基板サポートは、スリット弁開口の上方のチャンバ壁部に接地することが可能となる。本明細書において説明されるような圧縮可能接触材料の実施形態により、基板サポートおよび/またはチャンバ側壁に設置される個別のグラウンド接触ユニットがもたらされる。一実施形態においては、基板サポートが上方に移動すると、圧縮可能接触部材は、スリット弁開口の上方のチャンバの固定設置される表面上に係合する。圧縮可能接触部材ユニットは、基板サポートが処理間隔距離範囲にわたりグラウンド接触を維持するのを可能にする従順構成要素を含む。基板サポートが下げられると、接地接触ユニットは、接地された接触パッドから係合解除される。本明細書において説明されるような圧縮可能接触材料の実施形態により、サセプタは、スリット弁開口の上方のチャンバ本体に接地することが可能となり、スリット弁開口がRFリターンパスに影響を及ぼすのを解消する。RFデバイス109aの実施形態により、RFデバイス109bは、はるかにさらに短くすることが可能となる。また、グラウンド接触ユニットが、それぞれ個別に基板サポートに対して設置されるため、および従順構成要素を有するため、グラウンド接触ユニットは、良好な電気接触を実現するために表面が平坦であることに依存しない。
前述においては本発明の実施形態を対象としたが、本発明の基本的な範囲から逸脱することなく、本発明の他のおよびさらなる実施形態を案出することができる。この本発明の範囲は、以下の特許請求の範囲により規定される。

Claims (18)

  1. プラズマ処理チャンバのための高周波リターンデバイスであって、
    シャフトを有するベースであり、前記シャフトは、前記ベース中に形成された開口内に移動可能に配設される、ベースと、
    前記ベースと前記シャフトとの間において結合されるばねであり、周囲温度および約200℃以上の処理温度にて実質的に同一である弾性特性を有する金属または合金から構成される第1の材料を含む、ばねと、
    前記第1の材料を実質的に包入する第2の材料であり、前記第1の材料とは異なる第2の材料と
    を備える、デバイス。
  2. 前記第2の材料は、導電性であり、前記第1の材料は、前記第2の材料によりコーティング、ラッピング、またはクラッディングされている、請求項1に記載のデバイス。
  3. 前記第1の材料は、前記処理温度において機械特性の約85%を維持する、請求項1に記載のデバイス。
  4. 前記第2の材料は、周囲温度にて第1の特性を備え、前記処理温度にて第2の特性を備え、前記第1の特性と前記第2の特性とは、実質的に異なる、請求項2に記載のデバイス。
  5. 前記コーティング、前記ラッピング、または前記クラッディングは、アルミニウム材料を含む、請求項2に記載のデバイス。
  6. 前記アルミニウム材料は、フォイル材料を含む、請求項5に記載のデバイス。
  7. 前記弾性特性は、最大引張強度である、請求項1に記載のデバイス。
  8. 前記第1の材料は、ニッケル‐モリブデン‐クロム合金を含む、請求項1に記載のデバイス。
  9. 前記第2の材料は、アルミニウム材料を含む、請求項8に記載のデバイス。
  10. 前記シャフトは、前記ベース内に配設された圧縮ばねに結合される、請求項1に記載のデバイス。
  11. チャンバと、
    前記チャンバ内に配設される少なくとも1つの電極であり、前記チャンバ内におけるプラズマの生成を促進し、前記チャンバ内において第2の電極に対して移動可能であり、1つまたは複数の可撓性接触部材により前記第2の電極に対して移動しつつ電気的に結合状態に維持され、前記1つまたは複数の可撓性接触部材の少なくとも1つが、約200℃超の温度に達した場合に塑性変形を伴わずに弾性を実質的に維持する金属または合金から構成される材料を含む、少なくとも1つの電極と
    を含む、プラズマ処理システム。
  12. 前記材料は、導電性材料によりコーティング、ラッピング、またはクラッディングされている、請求項11に記載のシステム。
  13. 前記少なくとも1つの可撓性接触部材は、
    前記少なくとも1つの電極に結合されるベースと、
    前記ベース中に移動可能に配設されるシャフトであり、前記少なくとも1つの可撓性接触部材は、第1の端部にて前記ベースに結合され、第2の端部にて前記シャフトに結合される、シャフトと
    をさらに備える、請求項11に記載のシステム。
  14. 前記ベースは、前記シャフトと前記ベース中に形成される穴との間に1つまたは複数の軸受要素を備える、請求項13に記載のシステム。
  15. 前記シャフトは、前記ベース中に配設される圧縮ばねに結合される、請求項13に記載のシステム。
  16. 前記少なくとも1つの可撓性接触部材が、
    周囲温度および約200℃以上の処理温度にて実質的に同一である機械特性を有する金属または合金から構成される第1の材料を含むばね
    をさらに備える、請求項11に記載のシステム。
  17. 前記第1の材料は、前記第1の材料とは異なる第2の材料によりコーティング、ラッピング、またはクラッディングされている、請求項16に記載のシステム。
  18. 前記第2の材料は、周囲温度にて第1の特性を備え、前記処理温度にて第2の特性を備え、前記第1の特性と前記第2の特性とは、実質的に異なる、請求項17に記載のシステム。
JP2011548432A 2009-02-04 2010-02-04 プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム Active JP5883652B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US14999009P 2009-02-04 2009-02-04
US61/149,990 2009-02-04
US16768109P 2009-04-08 2009-04-08
US61/167,681 2009-04-08
US26457609P 2009-11-25 2009-11-25
US61/264,576 2009-11-25
US29354910P 2010-01-08 2010-01-08
US61/293,549 2010-01-08
PCT/US2010/023236 WO2010091205A2 (en) 2009-02-04 2010-02-04 Ground return for plasma processes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016021500A Division JP6238253B2 (ja) 2009-02-04 2016-02-08 プラズマ処理チャンバのための高周波リターンデバイス及びプラズマ処理システム

Publications (3)

Publication Number Publication Date
JP2012517076A true JP2012517076A (ja) 2012-07-26
JP2012517076A5 JP2012517076A5 (ja) 2013-03-21
JP5883652B2 JP5883652B2 (ja) 2016-03-15

Family

ID=42397954

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011548432A Active JP5883652B2 (ja) 2009-02-04 2010-02-04 プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
JP2016021500A Active JP6238253B2 (ja) 2009-02-04 2016-02-08 プラズマ処理チャンバのための高周波リターンデバイス及びプラズマ処理システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016021500A Active JP6238253B2 (ja) 2009-02-04 2016-02-08 プラズマ処理チャンバのための高周波リターンデバイス及びプラズマ処理システム

Country Status (6)

Country Link
US (2) US9382621B2 (ja)
JP (2) JP5883652B2 (ja)
KR (1) KR101593460B1 (ja)
CN (1) CN102308675B (ja)
TW (2) TWI558843B (ja)
WO (1) WO2010091205A2 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2017221829A1 (ja) * 2016-06-22 2017-12-28 株式会社アルバック プラズマ処理装置
JP2018113461A (ja) * 2012-10-18 2018-07-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャドーフレームサポート
JP2019523987A (ja) * 2016-06-03 2019-08-29 エヴァテック・アーゲー プラズマエッチングチャンバ及びプラズマエッチング方法
JP2019143188A (ja) * 2018-02-20 2019-08-29 株式会社アルバック 耐食性膜及び真空部品
JP2019525479A (ja) * 2016-09-28 2019-09-05 ミコ リミテッドMico Ltd. 接地クランプユニット及びこれを含む基板支持アセンブリー
JP2020181840A (ja) * 2019-04-23 2020-11-05 株式会社アルバック プラズマ処理装置
JP2020181839A (ja) * 2019-04-23 2020-11-05 株式会社アルバック プラズマ処理装置
JP2022549827A (ja) * 2019-09-26 2022-11-29 アプライド マテリアルズ インコーポレイテッド 基板処理用支持体ブラケット装置および方法
JP7492900B2 (ja) 2020-10-29 2024-05-30 株式会社アルバック プラズマ処理装置

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US9382621B2 (en) * 2009-02-04 2016-07-05 Applied Materials, Inc. Ground return for plasma processes
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9087679B2 (en) * 2011-02-09 2015-07-21 Applied Materials, Inc. Uniformity tuning capable ESC grounding kit for RF PVD chamber
CN102747339A (zh) * 2011-04-22 2012-10-24 英属开曼群岛商精曜有限公司 等离子体辅助式化学气相沉积装置
US20120267049A1 (en) * 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
CN106847736B (zh) 2011-11-08 2020-08-11 因特瓦克公司 基板处理系统和方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
WO2013078420A2 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Symmetric rf return path liner
US20130160948A1 (en) * 2011-12-23 2013-06-27 Lam Research Corporation Plasma Processing Devices With Corrosion Resistant Components
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
US9849202B2 (en) * 2012-09-14 2017-12-26 The Board Of Regents For Oklahoma State University Plasma pouch
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
KR20150022163A (ko) * 2013-08-22 2015-03-04 삼성디스플레이 주식회사 플라즈마 처리 장치용 스트랩 및 이를 포함하는 플라즈마 처리 장치
JP6009513B2 (ja) * 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20160348233A1 (en) * 2015-05-29 2016-12-01 Applied Materials, Inc. Grounding of conductive mask for deposition processes
KR102330098B1 (ko) * 2017-04-24 2021-11-23 주성엔지니어링(주) 기판 처리 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102399343B1 (ko) * 2017-05-29 2022-05-19 삼성디스플레이 주식회사 화학기상 증착장치
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
CN109423630A (zh) * 2017-09-04 2019-03-05 台湾积体电路制造股份有限公司 升举装置、化学气相沉积装置及方法
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102527232B1 (ko) * 2018-01-05 2023-05-02 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112585722B (zh) * 2018-08-22 2024-06-14 东京毅力科创株式会社 基片处理方法和基片处理装置
KR102509641B1 (ko) * 2018-08-28 2023-03-16 삼성전자주식회사 플라즈마 챔버의 rf 센싱 장치 및 이를 포함하는 플라즈마 챔버
CN109338335B (zh) * 2018-10-16 2020-09-08 深圳市华星光电技术有限公司 一种用于化学气相沉淀的暗影框结构
WO2020101935A1 (en) 2018-11-16 2020-05-22 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11640917B2 (en) 2018-12-07 2023-05-02 Applied Materials, Inc. Ground electrode formed in an electrostatic chuck for a plasma processing chamber
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11443921B2 (en) * 2020-06-11 2022-09-13 Applied Materials, Inc. Radio frequency ground system and method
KR20230164147A (ko) * 2021-04-01 2023-12-01 어플라이드 머티어리얼스, 인코포레이티드 플라즈마를 이용한 박막 형성을 위한 접지 리턴
WO2022245087A1 (ko) * 2021-05-18 2022-11-24 주식회사 에스엠티 스트랩 및 그 제조 방법
WO2023027707A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Process gas containment using elastic objects mated with reactor interfaces
US20230243035A1 (en) * 2022-01-28 2023-08-03 Applied Materials, Inc. Ground return for thin film formation using plasma
TW202347404A (zh) * 2022-02-01 2023-12-01 美商蘭姆研究公司 Rf功率路徑對稱
TWI832336B (zh) * 2022-07-18 2024-02-11 友威科技股份有限公司 具高導電電極的連續電漿製程系統

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03138372A (ja) * 1989-10-20 1991-06-12 Fujitsu Ltd プラズマcvd装置
JPH03240952A (ja) * 1990-02-15 1991-10-28 Hitachi Ltd 薄膜形成装置
JPH08186101A (ja) * 1995-01-06 1996-07-16 Dainippon Screen Mfg Co Ltd プラズマ処理装置
JPH10280142A (ja) * 1997-04-07 1998-10-20 Nec Kagoshima Ltd インライン式成膜装置
JP2005123578A (ja) * 2003-09-03 2005-05-12 Tokyo Electron Ltd プラズマ処理装置及び直流電位測定方法及び直流電位測定装置
JP2006104575A (ja) * 2004-09-21 2006-04-20 Applied Materials Inc プロセスチャンバのカソードの高周波接地

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389135A (en) * 1981-06-30 1983-06-21 Jack Peters Releasable locking device
SU1001250A1 (ru) * 1981-10-05 1983-02-28 Государственный проектно-конструкторский и научно-исследовательский институт по автоматизации угольной промышленности "Гипроуглеавтоматизация" Контактный узел
JPH0762594B2 (ja) * 1989-08-11 1995-07-05 日本碍子株式会社 ファイバー炉
JPH03182070A (ja) * 1989-12-08 1991-08-08 Mitsubishi Electric Corp コネクタ
US4998178A (en) 1990-06-18 1991-03-05 Minnesota Mining And Manufacturing Company Adjustable, conductive body strap
CN2157857Y (zh) * 1993-05-12 1994-03-02 祝继彬 鹰爪旋具
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH1079350A (ja) * 1996-09-04 1998-03-24 Kokusai Electric Co Ltd プラズマ処理装置
KR100497879B1 (ko) 1997-01-23 2005-09-08 동경 엘렉트론 주식회사 플라즈마처리장치
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
CN2320729Y (zh) * 1998-04-09 1999-05-26 天津市制锁三厂 通道锁
US6162332A (en) 1998-05-07 2000-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for preventing arcing in sputter chamber
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6349670B1 (en) * 1998-11-30 2002-02-26 Alps Electric Co., Ltd. Plasma treatment equipment
US6558504B1 (en) 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
US6700089B1 (en) * 1999-03-30 2004-03-02 Tokyo Electron Limited Plasma processing device, its maintenance method, and its installation method
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
AT414033B (de) * 2001-01-09 2006-08-15 Blum Gmbh Julius Dämpfer, insbesondere für möbel
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
JP2003077848A (ja) * 2001-09-06 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置
US7100532B2 (en) 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
KR100461517B1 (ko) 2002-03-14 2004-12-14 시그마텔레콤 주식회사 기지국/중계기의 원격 감시 시스템 및 방법
US7060545B1 (en) 2002-10-31 2006-06-13 Micrel, Inc. Method of making truncated power enhanced drift lateral DMOS device with ground strap
US6933442B2 (en) 2003-02-12 2005-08-23 Senior Industries, Inc. Methods and apparatus to secure a ground strap assembly to an electrically conductive member
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7375946B2 (en) 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
TWI424524B (zh) * 2006-10-04 2014-01-21 Applied Materials Inc 電漿腔室中用於基板夾持之設備與方法
JP4887202B2 (ja) 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
JP5324859B2 (ja) * 2008-08-08 2013-10-23 三菱重工業株式会社 真空処理装置およびそれを用いた製膜方法
JP2010138740A (ja) * 2008-12-10 2010-06-24 Ntn Corp ラッシュアジャスタ
JP2010161316A (ja) * 2009-01-09 2010-07-22 Ulvac Japan Ltd プラズマ処理装置
US9382621B2 (en) * 2009-02-04 2016-07-05 Applied Materials, Inc. Ground return for plasma processes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03138372A (ja) * 1989-10-20 1991-06-12 Fujitsu Ltd プラズマcvd装置
JPH03240952A (ja) * 1990-02-15 1991-10-28 Hitachi Ltd 薄膜形成装置
JPH08186101A (ja) * 1995-01-06 1996-07-16 Dainippon Screen Mfg Co Ltd プラズマ処理装置
JPH10280142A (ja) * 1997-04-07 1998-10-20 Nec Kagoshima Ltd インライン式成膜装置
JP2005123578A (ja) * 2003-09-03 2005-05-12 Tokyo Electron Ltd プラズマ処理装置及び直流電位測定方法及び直流電位測定装置
JP2006104575A (ja) * 2004-09-21 2006-04-20 Applied Materials Inc プロセスチャンバのカソードの高周波接地

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018113461A (ja) * 2012-10-18 2018-07-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャドーフレームサポート
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2019523987A (ja) * 2016-06-03 2019-08-29 エヴァテック・アーゲー プラズマエッチングチャンバ及びプラズマエッチング方法
JP7156954B2 (ja) 2016-06-03 2022-10-19 エヴァテック・アーゲー プラズマエッチングチャンバ及びプラズマエッチング方法
WO2017221829A1 (ja) * 2016-06-22 2017-12-28 株式会社アルバック プラズマ処理装置
JPWO2017221829A1 (ja) * 2016-06-22 2018-11-29 株式会社アルバック プラズマ処理装置
JP2019525479A (ja) * 2016-09-28 2019-09-05 ミコ リミテッドMico Ltd. 接地クランプユニット及びこれを含む基板支持アセンブリー
JP7044581B2 (ja) 2018-02-20 2022-03-30 株式会社アルバック 耐食性膜及び真空部品
JP2019143188A (ja) * 2018-02-20 2019-08-29 株式会社アルバック 耐食性膜及び真空部品
JP2020181839A (ja) * 2019-04-23 2020-11-05 株式会社アルバック プラズマ処理装置
JP2020181840A (ja) * 2019-04-23 2020-11-05 株式会社アルバック プラズマ処理装置
JP7245107B2 (ja) 2019-04-23 2023-03-23 株式会社アルバック プラズマ処理装置
JP7264710B2 (ja) 2019-04-23 2023-04-25 株式会社アルバック プラズマ処理装置
JP2022549827A (ja) * 2019-09-26 2022-11-29 アプライド マテリアルズ インコーポレイテッド 基板処理用支持体ブラケット装置および方法
JP7385023B2 (ja) 2019-09-26 2023-11-21 アプライド マテリアルズ インコーポレイテッド 基板処理用支持体ブラケット装置および方法
JP7492900B2 (ja) 2020-10-29 2024-05-30 株式会社アルバック プラズマ処理装置

Also Published As

Publication number Publication date
CN102308675B (zh) 2016-01-13
TWI527930B (zh) 2016-04-01
WO2010091205A2 (en) 2010-08-12
KR101593460B1 (ko) 2016-02-12
TW201033402A (en) 2010-09-16
TWI558843B (zh) 2016-11-21
JP2016136522A (ja) 2016-07-28
US9382621B2 (en) 2016-07-05
US20160305025A1 (en) 2016-10-20
WO2010091205A3 (en) 2010-11-25
JP6238253B2 (ja) 2017-11-29
CN102308675A (zh) 2012-01-04
JP5883652B2 (ja) 2016-03-15
TW201634742A (zh) 2016-10-01
KR20110123762A (ko) 2011-11-15
US20100196626A1 (en) 2010-08-05

Similar Documents

Publication Publication Date Title
JP6238253B2 (ja) プラズマ処理チャンバのための高周波リターンデバイス及びプラズマ処理システム
KR101641130B1 (ko) 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
TWI584409B (zh) 用於薄基板之可攜式靜電夾盤載體
KR102242988B1 (ko) 플라즈마 처리장치
JP4426642B2 (ja) 原子層成長装置および原子層成長方法
US20070186857A1 (en) Plasma processing apparatus and method of using the same
JPWO2010079756A1 (ja) プラズマ処理装置
JP2004128322A (ja) 発熱体cvd装置及び、発熱体cvd装置における発熱体と電力供給機構との間の接続構造
JP7446335B2 (ja) 接地用ストラップアセンブリ
KR101080437B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5215685B2 (ja) 原子層成長装置
TW202245553A (zh) 用於使用電漿形成薄膜的接地返回
JP4981387B2 (ja) 薄膜製造装置及び太陽電池の製造方法
JP2010232347A (ja) 真空処理装置
US20190382891A1 (en) Method and solution for resolving cgt mura issue
KR20230084367A (ko) 기판 처리 방법 및 장치
JP5078656B2 (ja) 原子層成長装置
JP5398632B2 (ja) 真空処理装置
CN118556275A (zh) 用于使用等离子体形成薄膜的接地回路
CN110114864A (zh) 基板传送设备
JP2010123628A (ja) 真空処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140519

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160208

R150 Certificate of patent or registration of utility model

Ref document number: 5883652

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250