TW202347404A - Rf功率路徑對稱 - Google Patents

Rf功率路徑對稱 Download PDF

Info

Publication number
TW202347404A
TW202347404A TW112102638A TW112102638A TW202347404A TW 202347404 A TW202347404 A TW 202347404A TW 112102638 A TW112102638 A TW 112102638A TW 112102638 A TW112102638 A TW 112102638A TW 202347404 A TW202347404 A TW 202347404A
Authority
TW
Taiwan
Prior art keywords
station
processing tool
stage
qsm
power path
Prior art date
Application number
TW112102638A
Other languages
English (en)
Inventor
麥高 班傑明 瓦斯奎斯
大衛 麥可 弗倫奇
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202347404A publication Critical patent/TW202347404A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Multi-Process Working Machines And Systems (AREA)

Abstract

在一些示例中,一種多站台處理工具包含多個處理腔室,每一處理腔室位於多站台處理工具之一站台;以及一RF功率路徑元件,其與多站台處理工具之每一站台相關,RF功率路徑元件之幾何位置以及方向是使得當通電時,創建相對於多站台處理工具之一對稱軸之一對稱RF功率路徑。

Description

RF功率路徑對稱
本揭露內容涉及用於RF功率路徑對稱以及配置之系統和方法,且在一些示例子涉及在半導體製造應用之多站台處理模組中對稱RF功率路徑以及氣流對稱之幾何元件配置。
[優先權主張] 本申請案主張2022年2月1日提出申請,申請案號為63/305,606之美國專利申請案的優先權利益,其完整內容在此以引用方式併入本文中。
採用供電基座之傳統多站台基板處理腔室通常使用各種元件配置來提供RF功率。傳統RF功率路徑配置通常受到哪些元件可在外部腔室硬體旁或內部安裝的限制。RF功率元件之幾何配置有時會因過大或不可接受之公差水準而變化,及/或相對於基板處理站台不對稱。
外部腔室硬體可包含處理氣體排氣管道、閥門元件以及用於RF匹配電路之一些過濾器以及外殼等等。舉例而言,排氣管道之傳統配置會難以接觸到下部腔室硬體。一些處理站台之下部很擁擠,這可能使增加或配置新的硬體以及RF功率元件變得困難。特別是,一些下部腔室硬體特別難以操作,因為基座升降方向是由氣體管道之幾何形狀及位置所決定的。外部腔室硬體之傳統配置會嚴重阻礙RF功率元件之共同幾何佈局的建立以及對稱RF功率路徑的建立。
在此所提供的先前技術說明是為了大致呈現本揭露內容之背景之目的。在該先前技術段落中所述之目前列名發明人之工作,以及不能以其他方式認定為申請時之先前技術的實施態樣敘述皆不被明示或暗示地承認為針對本揭露內容之先前技術。
在一些示例中,一種多站台處理工具包含多個處理腔室,每一處理腔室位於多站台處理工具之一站台;以及一RF功率路徑元件,其與多站台處理工具之每一站台相關,RF功率路徑元件係幾何地定位並配置以使得當通電時,創建相對於多站台處理工具之一對稱軸之一對稱RF功率路徑。
在一些示例中,對稱軸位於多站台處理工具之一中心。在一些示例中,多站台處理工具之中心是由多站台處理工具之一主軸馬達之一軸所定義。
在一些示例中,RF功率路徑元件包含一RF元件外殼。
在一些示例中,多站台處理工具包含一四站台處理模組 (QSM),其具有四個站台,每一站台包含一處理腔室。
在一些示例中,與QSM之四個站台中之一第一站台相關之一第一RF功率路徑元件之一幾何位置以及方向,對稱於與QSM之四個站台中之一第二站台相關之一第二RF功率路徑元件之一幾何位置以及方向。
在一些示例中,與第一站台相關之一第一非RF元件之幾何位置以及方向,對稱於與QSM之第二站台相關之一第二非RF元件之幾何位置以及方向。
在一些示例中,RF功率路徑元件或非RF元件之一不對稱性對於多站台處理工具之每一站台是共有的。
在一些示例中,多站台處理工具更包含一前級組件,其包含四個入口,每一入口可與QSM之一站台之一腔室埠連接;一出口,其可直接或間接與一真空源連接;一第一前級分岔,其設置於靠近前級組件之一出口;二個第二前級分岔,每一第二前級分岔設置於第一前級分岔以及四個入口中相對應之一對入口之間;以及第一及第二前級分岔將前級組件分為三個部分,一第一部分從四個入口延伸至二個第二前級分岔,一第二部分從二個第二前級分岔延伸至第一前級分岔,以及一第三部分從第一前級分岔延伸至前級組件之出口。
在一些示例中,在每一部分之一前級之一相對應直徑在從四個入口之至少其中之一至前級組件之出口之一氣流方向上,在一相對應分岔逐步增加;在前級組件之一相對應部分內是恆定的。
在一些示例中,在第一部分中之一前級之一直徑在38.1mm (約1.5英寸) 至63.5mm (約2.5英寸) 的範圍內,在第二部分中之一前級之一直徑在63.5mm (約2.5英寸) 至88.9mm (約3.5英寸) 的範圍內,以及在第三部分中之一前級之一直徑在88.9mm (約3.5英寸) 至114.3mm (約4.5英寸) 的範圍內。
在一些示例中,在第一部分中之前級之直徑為50.8mm (約2英寸),在第二部分中之前級之直徑為76.2mm (約3英寸),以及在第三部分中之前級之直徑為101.6mm (約4英寸)。
在一些示例中,多站台處理工具更包含一T型件連接器,其在二個第二前級分岔各提供一個。
在一些示例中,T型件連接器包含向外收斂之錐形部分,其將第一部分之前級之直徑過渡至第二部分之一前級之直徑。
在一些示例中,T型件連接器以及QSM之一底側之間之一間隔距離被配置為容納一RF功率路徑元件於T型件連接器以及QSM之底側之間。
下列說明包含體現了本揭露內容之說明性實施例之系統、方法、技術、指令程序、以及計算機器程式產品。在下列的說明中,為了解釋的目的,列舉許多特定的細節以提供對於示例性實施例的全面瞭解。然而,熟知此項技藝者當明白,可在缺乏這些特定細節的情況下實施本揭露內容。
本專利文件之部分揭露內容可能包含受版權保護的材料。版權所有者不反對任何人對專利文件或專利揭露內容進行傳真複製,因為它出現在專利商標局之專利文件或記錄中,但除此之外,保留所有版權權利。以下通知適用於如下所述之任何數據以及構成本文件一部分之圖式:版權所有蘭姆研究公司 (Lam Research Corporation),2019-2021,保留所有權利。
基板處理系統可用於對諸如半導體晶圓等之基板進行沉積、蝕刻及/或其它處理。在處理期間,基板被設置在基板處理系統之處理腔室中之基板支架上。在蝕刻或沉積期間,包含一或多種蝕刻氣體或氣體前驅物之混合氣體分別被引入處理腔室,且可使用RF功率觸發電漿以啟動化學反應。
基板處理系統可包含設置在製造室中之多個基板處理工具。每一基板處理工具可包含多個處理模組。通常基板處理工具包含多達六個處理模組。
現在參照圖1,圖中示出了示例性基板處理工具100之俯視圖。基板處理工具100包含多個處理模組104。在一些示例中,每一處理模組104可被配置為在基板上執行一或多個相對應的處理。要處理之基板經由設備前端模組 (equipment front end module,EFEM) 108之裝載站台之埠裝載入基板處理工具100,然後轉移至一或多個處理模組104中。舉例而言,基板可依序裝載入每一處理模組104中。處理模組104可為或包含多站台處理模組,如以下進一步所述之四站台處理模組 (quad station process module,QSM)。現在參照圖2,示出了包含多個基板處理工具208之製造室204之示例佈置200。
圖3示出了第一示例性配置300,其包含第一基板處理工具304以及第二基板處理工具308。處理工具304及308可各自包含一或多個處理模組104或QSM。第一基板處理工具304以及第二基板處理工具308按順序設置,且藉由處於真空狀態之轉移台312連接。如圖所示,轉移台312包含樞軸轉移機構,其被配置為在第一基板處理工具304之真空轉移模組 (vacuum transfer module,VTM) 316以及第二基板處理工具308之真空轉移模組 (VTM) 320之間轉移基板。然而,在其它示例中,轉移台312可包含其它合適的轉移機構,例如線性轉移機構。在一些示例中,VTM 316之第一機器人 (未示出) 可將基板放置在設置在第一位置之支撐件324上,支撐件324被樞轉至第二位置,且VTM 320之第二機器人 (未示出) 從第二位置之支撐件324上取回基板。在一些示例中,第二基板處理工具308可包含儲存緩衝區328,其被配置為在處理階段之間儲存一或多個基板。
轉移機構亦可堆疊起來,以在基板處理工具308及304之間提供二個或多個轉移系統。轉移台312亦可具有多個槽位,以一次運輸或緩衝多個基板。
在配置300中,第一基板處理工具304及第二基板處理工具308被配置為共用一個設備前端模組 (EFEM) 332。
圖4示出了第二示例配置400,其包含依序設置之第一基板處理工具404以及第二基板處理工具408,並藉由轉移台412連接。配置400類似於圖3之配置300,除了在配置400中,取消了EFEM 332。因此,基板可經由氣閘裝載站台416直接裝載入第一基板處理工具404中 (例如使用儲存器或運輸載體,如真空晶圓載體、前開式晶圓傳送盒 (front-opening unified pod,FOUP)、大氣 (ATM) 機器人等,或其它合適之機制)。
本揭露內容之示例可部署在多站台處理模組或處理腔室中,例如QSM。在一些示例中,如圖5所示,基板處理工具500包含四個QSM 508,其佈置在基板處理工具500之各個角落。處理模組508之其它排列是可能的。每一QSM 508有四個站台518。基板處理工具500包含轉移機器人502及504,統稱為轉移機器人502/504。為了舉例說明,處理工具500沒有顯示機械分度器。在其它示例中,工具500之各個處理模組508可包含機械分度器。
VTM 516以及EFEM 510可各自包含轉移機器人502/504。轉移機器人502/504可具有相同或不同的配置。在一些示例中,轉移機器人502被顯示為具有兩個手臂,每一手臂具有二個垂直堆疊之末端效應器。VTM 516之機器人502選擇性地將基板轉移至EFEM 510以及在處理模組508之間轉移。EFEM 510之機器人504將基板移入以及移出EFEM 510。在一些示例中,機器人504可具有二個手臂,每一手臂具有一個單一的末端效應器或二個垂直堆疊之末端效應器。系統控制器506可控制所示之基板處理工具500及其元件之各種操作,包含但不限於機器人502/504之操作,以及處理模組508之各自分度器的旋轉等等。
舉例而言,工具500被配置為與四個QSM 508中之每一個界接。每一QSM 508可具有經由相對應之槽位512存取之單一裝載站台。其它佈置也是可能的。在所示之方式中,二個QSM 508,每一個皆具有一個單一之裝載站台,被耦合至VTM 516之一側514。EFEM 510可至少部分地設置在二個處理模組508之間。
舉例而言,在QSM 508之基板處理期間,處理氣體進入模組以協助產生電漿。然後,氣體離開處理模組508。廢氣的排出可藉由真空或排氣管道進行,在本說明書中也被稱為前級 (foreline) 或前級組件 (foreline assembly)。前級組件中之多條前級之一可位於處理工具500中之每一QSM 508的下方,且與真空源連接以將氣體從QSM 508中排出。前級組件之每一前級可用於從QSM 508中之相對應站台518排出氣體。
圖6大致示出了QSM 600之示例配置。為了清楚起見,省略了一些QSM 600的部件。QSM 600包含四個站台608,其以大致上正方形配置設置在QSM 600的各個角落。站台608之其它佈置是可能的。每一站台包含真空腔室,其用於使用如下文更全面地描述之RF功率以及電漿氣體流處理基板。QSM 600中之每一站台608包含晶圓支架610,其在處理期間支持基板 (在此一般也被稱為晶圓)。在一些示例中,晶圓支架610包含RF功率路徑中之供電基座或靜電卡盤 (electrostatic chuck,ESC)。其它類型之晶圓支架或組件可用於在站台608中支持晶圓,以對其進行不同類型的處理。
每一站台608包含相對應之升降銷致動器組件612,其可向上移動升降銷,且在晶圓轉移期間解開晶圓。QSM 600包含主軸602,其可將晶圓從一晶圓支架610轉移至另一個。主軸602可由主軸馬達704驅動,在圖7中可更清楚地看到。為了清楚起見,圖中未畫出主軸602可作用於其上之轉移板。轉移板可在晶圓轉移階段進行旋轉,在此階段的晶圓運動可與其它晶圓轉移機構,例如圖5之轉移機器人502/504所賦予的晶圓運動相協調。
為了說明的目的,圖13示出了可能發生在諸如QSM 600之處理工具中之站台608之示例半導體製造處理的態樣。圖13示出了真空腔室1300。根據一些示例,真空腔室可為用於製造基板之蝕刻或沉積腔室。在RF功率路徑之二個電極之間激發電場是在真空腔室中獲得射頻 (radio frequency,RF) 氣體放電的方法之一。當在電極之間施加振盪電壓時,獲得的放電被稱為CCP放電。
電漿1302可在真空腔室1300之處理區1330內產生,利用一或多種處理氣體,以獲得由電子-中性碰撞所造成的各種分子解離而產生的各種化學反應性副產品。蝕刻之化學態樣涉及中性氣體分子及其解離之副產品與待蝕刻表面之分子的反應,並產生揮發性分子,這些分子可被抽走。當電漿產生時,正離子從電漿中加速穿過分隔電漿以及腔室壁之空間電荷鞘,以足夠的能量撞擊基板表面,以從基板表面去除材料。使用高能量以及化學反應性離子選擇性地以及異向性地從基板表面去除材料的處理被稱為反應性離子刻蝕 (reactive ion etch,RIE)。在一些示例中,真空腔室1300可與PECVD或PEALD沉積處理結合使用。
控制器1316藉由控制腔室中之不同元件,例如RF產生器1318、氣體源1322以及氣體泵1320,來管理真空腔室1300的操作。在一實施例中,碳氟化合物氣體,例如CF 4和C 4F 8,因其異向性以及選擇性蝕刻能力而被用於介電質蝕刻處理,但本文所述之原理可應用於其它產生電漿之氣體。碳氟化合物氣體很容易地解離成化學反應性的副產品,其包含較小的分子以及原子自由基。這些化學反應性的副產品會蝕刻掉介電材料。
真空腔室1300示出了在RF功率路徑中具有上 (或頂) 電極1304以及下 (或底) 電極1308之處理腔室。上電極1304可接地或與RF產生器 (未示出) 耦合,而下電極1308經由匹配網路1314與RF產生器1318耦合。RF產生器1318在上電極1304以及下電極1308之間提供RF信號,以產生一或多個 (例如二或三個) 不同RF頻率之RF功率。根據用於特定操作之真空腔室1300的理想配置,多個RF頻率中之至少一個可被開啟或關閉。在圖13所示之實施例中,RF產生器1318被配置為提供至少三種不同的頻率,例如400kHz、2MHz、27MHz以及60MHz,但其它頻率亦是可能的。
真空腔室1300包含在頂電極1304上之氣體噴淋頭,以將由氣體源1322所提供之處理氣體輸入真空腔室1300,以及有孔的封閉環1312,其允許氣體經由前級組件之前級 (例如) 藉由氣體泵1320抽出真空腔室1300。在一些示例性實施例中,氣體泵1320為渦輪分子泵,但也可利用其它類型的氣體泵。
當基板1306存在於真空腔室1300中時,矽聚焦環1310位於基板1306旁,這樣在電漿1302之底表面有一個均勻的RF場,以便在基板1306之表面進行均勻的蝕刻 (或沉積)。圖13之實施例示出了三極管反應器配置,其中頂電極1304被對稱的RF接地電極1324所包圍。絕緣體1326為一種介電質,其將接地電極1324與頂電極1304隔離。真空腔室1300之其它實施方案,包含基於ICP之實施方案,也是可能的,而不改變所揭露之示例的範圍。
如本文所使用的,術語「基板」表示一種支撐材料,半導體裝置之元件被製造或連接在其上或在其內。基板 (例如基板1306) 可包含,例如,由元素半導體材料 (例如矽 (Si) 或鍺 (Ge)) 或化合物半導體材料 (例如矽鍺 (SiGe) 或砷化鎵 (GaAs)) 所組成之晶圓 (例如直徑為100mm、150mm、200mm、300mm、450mm或更大)。此外,其它基板包含,例如,介電材料,如石英或藍寶石 (可在其上應用半導體材料)。示例基板包含空白基板以及圖案化基板。空白基材為一種包含低表面 (或平面) 頂表面之基板。圖案化基板為一種包含高表面 (或結構化) 頂表面之基板。基板之結構化頂表面可包含不同的高表面積結構,例如3D NAND記憶體孔或其它結構。
由RF產生器1318所產生之每一頻率可在基板製造處理中為特定目的而選擇。在圖13之示例中,以400kHz、2MHz、27MHz以及60MHz提供RF功率,400kHz或2MHz之RF功率提供離子能量控制,27MHz以及60MHz之功率提供電漿密度以及化學物質之解離模式控制。每一RF功率可被開啟或關閉的這種配置,使某些在基板上使用超低離子能量之處理,以及某些離子能量必須很低 (例如低於700或200eV) 之處理 (例如低K材料之軟蝕刻) 成為可能。
在另一實施例中,在上電極1304上使用60MHz之RF功率,以獲得超低的能量以及非常高的密度。這種配置允許在基板1306不在真空腔室1300中時,用高密度電漿進行腔室清潔,同時儘量減少靜電卡盤 (ESC) 表面上的濺射。當基板1306不存在時,ESC表面是曝露的,應該避免表面上的任何離子能量,這就是為什麼在清潔期間底部之2MHz以及27MHz之功率可能被關閉的原因。
在一示例性實施例中,真空腔室1300進一步包含感測器1328,其可被放置於RF產生器1318之匹配網路1314以及下電極1308之間。感測器1328可包含電壓-電流 (或V-I) 感測器,其被配置為產生多個信號 (例如感測資料),其表示由RF產生器1318在相對應之多個時間例下所產生之RF信號之至少一信號特徵。舉例而言,V-I感測器可產生多個信號,其表示RF信號之以下一或多個信號特徵:電壓、電流、相位、輸送功率以及阻抗。在某些態樣,由感測器1328在相對應之多個時間例所產生之多個信號可被儲存 (例如在控制器1316或感測器1328之晶片上記憶體中),且隨後被取回 (例如由控制器1316) 以進行後續的處理。在其它態樣,由感測器1328在相對應之多個時間例所產生之多個信號可在它們產生時自動傳送給控制器1316。
如上所述,外部腔室硬體之傳統配置會嚴重阻礙RF功率組件之共同幾何佈局之建立以及對稱RF功率路徑之建立。為此,本揭露內容之示例旨在為對稱RF功率路徑以及氣流對稱提供幾何組件配置,特別是在半導體製造應用中之多站台處理模組。RF功率路徑對稱性或共通性,對於多站台處理工具 (例如本文所述的QSM) 中之晶圓處理的均勻性可能是重要的。
在一些示例中,提供例如QSM之跨站台之RF功率路徑對稱可能不一定意味著為 (或在) 每一站台提供 「真正的」對稱,而只是在給定之RF功率路徑中之任何不對稱被每一站台共同分配或共用,亦即對每一站台是共有的。在這些示例中,在每一站台係共同地不對稱的意義上,RF功率路徑可以說是對稱的。
非共有地共用跨站台的不對稱性,甚至是一般的RF功率路徑之不對稱性,都會對基板製造處理造成很大的破壞。超過27MHz之RF頻率,例如40MHz、60MHz、甚至100MHz,其波長與硬體或系統尺寸相比不算長。舉例而言,與較低之RF功率相關之波長相比,在這樣的小波長下,RF功率產生器之幾何位置中各站台之間之特定物理不對稱性「相對」高得多。在目前採用非常高的頻率之處理中,創造不對稱之RF功率路徑的能力變得越來越可能。此外,在這樣的高頻率下,舉例而言,包含電漿在內之非線性電路元件會導致諧波頻率的產生,且基頻倍數的諧波普遍存在,這使得創建對稱性的挑戰變得更加困難。
一些示例試圖提供相對於腔室或工具中心 (例如上述QSM 600之中心的主軸602) 之對稱RF功率路徑。在這樣的示例中,主軸602作為對稱的軸。最佳化或改善RF功率路徑對稱性 (或共有不對稱性) 可增加建立RF功率以及薄膜特性之站對站匹配的能力。如本文所述,RF功率路徑元件之對稱性幾何佈置以及配置試圖使其成為可能。
此處之一些示例是關於在多站台處理模組中建立氣流對稱。一些示例包含前級組件,其被配置為實現跨站台之氣流對稱。腔室元件之一些示例配置結合了RF功率路徑對稱以及氣流對稱之各個態樣。示例元件可包含前級、前級組件、閥門或閥門元件、或RF功率路徑元件,例如RF濾波器或RF元件外殼。實現RF功率路徑對稱、氣流對稱及/或組合RF功率路徑以及氣流對稱之示例元件配置可包含元件之一或多個對稱幾何配置。元件之對稱配置可包含多站台處理模組之每一站台所共有的元件。
再次參照圖6,在QSM 600下面可看到前級組件606之一部分。此部分被稱為前級組件之「第三部分 (third section)」,且在下文中詳細描述。前級組件606包含下部出口616,其可直接或間接連接至真空源604以及其它下游元件,例如組合或控制閥614。在晶圓處理期間,前級組件606在晶圓或基板處理期間從每一站台608 (處理腔室) 以及QSM整體上排出廢氣。
圖7為QSM 600之底側以及相關元件之示意圖。可以看到前級組件606以及升降銷致動器組件612之底側視圖。RF元件外殼611連接至每一升降銷致動器元件612。如以下更詳細的描述,前級組件606之每一 (上部) 入口712在相對應之腔室埠處連接至相對應之站台 (處理腔室) 608。
可以注意到的是,圖示之前級組件606之配置允許升降銷致動器組件612相對於主軸602 (或主軸馬達704) 之軸的方向保持不變,亦即它們在同一方向上遵循圍繞主軸602之假想同心環。前級組件606之 (下部) 出口616可直接或間接連接至真空源604以及控制閥614。如圖所示,QSM 600可包含各種元件以及供應線702、連接器706、控制線708以及其它模組710,以供應QSM 600。其它元件以及QSM之佈置是可能的。
將注意到的是,每一RF元件外殼611在其自己的QSM象限內或相對於其自己的站台608之幾何位置以及方向對稱於另一RF元件外殼在其相對應之象限或站台608內之幾何位置和方向。換言之,每一象限可說是相對於相鄰象限被「時鐘化 (clocked)」了90度,但在所有其它方面,每一象限內之相對應RF元件外殼之幾何位置以及方向是相同的。在此意義上,可以說RF元件外殼之幾何佈局是對稱的。各象限之90度「時鐘化」是圍繞主軸602或主軸馬達704的軸發生的,這也相應地代表了對稱的軸。
RF元件之對稱佈局可應用於設置在QSM 600外部或下方之RF功率路徑中之其它元件。舉例而言,諸如RF功率產生器或濾波器等元件。一些示例將幾何對稱性應用於非RF元件。舉例而言,將注意到的是,每一升降銷致動器元件612在它自己的QSM象限內或相對於它自己的站台608之幾何位置以及方向對稱於另一升降銷致動器元件在它自己相對應的象限或站台608內之幾何位置以及方向。
在一些示例中,QSM之每一象限可能有局部的「不對稱」,但QSM整體上仍然是「對稱」的,因為局部的不對稱是每一象限或站台所共有的。舉例而言,讓我們假設用於RF元件外殼611之安裝支架在製造時有錯誤或設計錯誤,導致外殼611內之元件稍微錯位。讓我們假設這個錯位通常會在施加於QSM 600之RF通量中造成無用的干擾,甚至可能導致製造錯誤。然而,在被共同地定位以及定向的情況下,每一射頻元件外殼611之誤差是平均分佈的,可以說,其成為每一象限的共同點。如果需要的話,可對此錯誤進行單一的處理,即使此缺陷發生在四個地方。在此意義上,QSM的「對稱性」被創造出來,在一些示例中,它使不同的QSM站台608的製造處理以及條件保持一致,即使QSM之一特定元件可能具有局部缺陷,或錯位。在半導體製造中,諸如可預測性、輸出之均勻性以及處理之一致性等方面可能是關鍵問題,特別是在上面所討論的高頻率下操作時。
在一些示例中,藉由前級組件606之幾何配置使得得出此功能以及RF功率路徑對稱的能力成為可能。在一些示例中,幾何配置是開放以及對稱的。舉例而言,圖8示出了安裝在QSM 600底側之前級組件606之示例的進一步圖解視圖。在圖中,可再次看到前級組件606、主軸馬達704以及升降銷致動器組件612。可以注意到的是,圖示之前級組件606的配置允許主軸馬達704以及其它位於QSM 600下方之處理支持元件周圍留出相當大的間隙。此間隙使RF以及上述之其它元件的設計以及對稱佈置成為可能。舉例而言,升降銷致動器元件612之一致以及對稱的方向例如使得更換零件之統一性以及在QSM 600之維護期間或晶圓處理週期之間操作者易於接近QSM 600。
圖9-10示出了未安裝在QSM上之前級組件606之圖解視圖。圖示之前級組件606包含四個入口,在本示例中,其包含腔室埠712。根據處理要求,其它入口數量或配置是可能的。舉例而言,2英寸之入口712可包含4英寸之腔室埠,以便於將前級組件606未經修改地與原位處理模組 (腔室) 608中之現有埠配合。前級組件606之出口616可直接或間接地與真空源在604處連接。真空壓力以及流經前級組件606之廢氣可由控制閥,如組合控制閥614來調節。
在一些示例中,前級組件606中之前級包含三個分岔。舉例而言,在出口616附近提供一個第一或主要分岔902。在第一分岔902處,相對較大直徑之管段與兩個相對較小之管段908相連,如圖9之示例所示。靠近處理模組608之二個 (現在分岔的) 前級908之相對應直徑可大致相同,如視圖中之示例所示。在一些示例中,相對應之管道直徑可根據處理流量或壓力要求而不同。第一分岔902可包含增壓腔室922,以平衡真空壓力,使其更均勻地分佈到分岔前級908中。從廢氣從處理模組608向外向下流動之方向的另一視角來看,第一分岔902上游之二個前級908合併成一條管線,且廢氣形成一個氣流。
如圖所示,前級元件之二個第二分岔904被設置在第一分岔902以及相對應之一對入口712之間。在圖9之視圖中,只有二個第二分岔904中之一個完全可見。在一些示例中,第一以及第二分岔902及904將前級組件606之前級分為幾個部分:從入口712延伸至二個第二分岔904之第一部分906、從第二分岔904延伸至第一分岔902之第二部分908以及從第一分岔902延伸至前級組件606之出口616之第三部分910。
第一部分906之前級之直徑可在38.1mm (約1.5英寸) 至63.5mm (約2.5英寸) 的範圍內。第二部分908之前級之直徑可在63.5mm (約2.5英寸) 至88.9mm (約3.5英寸) 的範圍內。第三部分910之前級之直徑可在88.9mm (約3.5英寸) 至114.3mm (約4.5英寸) 的範圍內。在圖示之示例中,示出了一個2-3-4之前級組件606,表示在前級組件606之第一部分906使用2英寸的管線,第二部分908使用3英寸的管線,第三部分910使用4英寸的管線。其它管線的配置是可能的。在一些示例中,在入口712或連接器之間之每一部分906、908及910中之每一管線的直徑在整個過程中實質上是均勻的。
在一些示例中,在每一第二分岔904處提供T型件連接器912。如圖所示,示例性之T型件連接器912可包含二個向外收斂之錐形部分,其將3英寸前級之直徑過渡到2英寸前級 (或在廢氣流動方向反之)。在一些示例中,可以選擇T型件連接器912以及QSM 600之底側之間之位置或間隔距離,以容納其它元件,例如升降銷致動器元件612、RF元件外殼611、主軸馬達704、mDSC馬達或eDSC馬達。
在第一部分906中,前級組件606包含四個前級,每一前級包含沿前級間隔設置之三個實質上直角之彎頭914。彎頭設置在每一入口712以及相對應之第二分岔904之間。在一些示例中,在第一部分906之前級一般為連續的且沒有提供可分離之接頭 (joint) 或管套接頭 (union)。其它佈置是可能的。
在第二部分908中,前級組件606包含二個前級,每一前級包含實質上直角之彎頭916,其設置在第一902以及第二904分岔之間。在一些示例中,在每一彎頭916之上端或朝向上端提供可分離之管套接頭918。如圖所示,每一管套接頭918可包含二個相對的凸緣920,其可用螺栓連接在一起,以將每一彎頭916連接至T型件連接器912之出口埠。凸緣920位於水平面上,且它們在彎頭916上方為第二部分908之兩半創造了對稱性,在某種意義上,避免了第二部分908中不同的「右手」或「左手」的元件。凸緣920之水平方向亦允許操作者容易接觸到垂直穿過凸緣920之螺母或螺栓,以固定管套接頭918,因為可以從QSM600的正下方接觸到螺母或螺栓,而不是需要一個橫向空間才能這樣做。管套接頭918以及凸緣920之這種水平方向,加上前述改善的主軸馬達704周圍的間隙,進一步有助於操作者對QSM 600的維護。
前級組件606之第三部分910可包含上述提及之增壓腔室922以及從增壓腔室922延伸至前級組件606之出口616之相對較短長度之大直徑前級。
參照圖11,在一些示例中,前級組件606包含或可與管件(spool piece)1104連接。管件1104可插設在真空源604以及前級組件606之出口616之間。為了方便起見,示例之管件1104可包含指向真空源604方向之指示器1102。在一些示例中,管件1104包含慢速泵入口1106、TEOS轉接件1108以及氣箱轉接件1110。在一些示例中,管件1104包含前驅物或其它轉接件1112、哈斯丁 (Hastings) 計埠1114 以及波紋管1116,以便於操作者維修以及方便調整管件1104。
一些實施例包含方法。參照圖12,在多站台處理工具上提供對稱RF功率路徑之示例方法1200包含:在操作1202,提供包含多個處理腔室之多站台處理工具,每一處理腔室位於多站台處理工具之一站台;以及在操作1204,安裝與多站台處理工具之每一站台相關之RF功率路徑元件,RF功率路徑元件在幾何上定位以及定向,使得當通電時,相對於多站台處理工具之對稱軸創建對稱RF功率路徑。
在一些示例中,對稱軸位於多站台處理工具之中心。在一些示例中,多站台處理工具之中心由多站台處理工具之主軸馬達之軸所定義。
在一些示例中,RF功率路徑元件包含一RF元件外殼。
在一些示例中,多站台處理工具包含四站台處理模組 (QSM)。
在一些示例中,方法1200進一步包含,在操作1206,為對稱氣流配置QSM,QSM之配置至少包含:將前級組件裝配至QSM,前級組件包含: 四個入口,每一入口可與QSM之一站台之腔室埠連接;一出口,其可直接或間接連接至真空源;一第一前級分岔,其靠近前級組件之出口設置;二個第二前級分岔,每一第二前級分岔設置在第一前級分岔以及相對應之一對入口之間;且第一以及第二前級分岔將前級組件分為三個部分,第一部分從四個入口延伸至二個第二前級分岔,第二部分從二個第二前級分岔延伸至第一前級分岔,第三部分從第一前級分岔延伸至前級組件之出口。
在一些示例中,方法1200進一步包含在QSM之每一站台中使用對稱RF功率路徑以及對稱氣流來處理QSM中之基板。
儘管已參照具體示例實施例或方法說明了示例,但顯而易見的是,可以對這些實施例進行各種修改以及改變而不脫離實施例之更廣泛範圍。因此,說明書以及附圖應以說明性而不是限制性的意義來看待。構成本文一部分之附圖以說明的方式而非限制的方式,展示了可以實施本主題之具體實施例。對所示實施例進行了足夠詳細的描述,以使本領域技術人員能夠實施本文所揭露的教示。可以利用其它實施例並從中衍生出其它實施例,這樣可在不脫離本揭露內容之範圍的情況下進行結構以及邏輯替換以及改變。因此,此詳細描述不應具有限制性意義,且各種實施例之範圍僅由所附申請專利範圍以及這些申請專利範圍所享有的全部均等物來定義。
本發明主題之這些實施例可在本文中單獨及/或共同地藉由術語「發明」來稱之,這僅僅是為了方便,並且無意將本申請的範圍自願地限制到任何單一發明或發明概念,如果實際上揭露了一個以上之發明。因此,儘管本文已經說明以及描述了具體實施例,但是應當理解,任何旨在實現相同目的之安排都可以代替所示之具體實施例。本揭露內容旨在涵蓋各種實施例之任何以及所有修改或變化。上述實施例之組合,以及本文未具體描述之其它實施例,對於本領域技術人員在閱讀以上描述後將是顯而易見的。
100:基板處理工具 104:處理模組 108:設備前端模組 200:佈置 204:製造室 208:基板處理工具 300:第一示例性配置 304:第一基板處理工具 308:第二基板處理工具 312:轉移台 316:真空轉移模組 320:真空轉移模組 324:支撐件 328:儲存緩衝區 332:設備前端模組 400:第二示例配置 404:第一基板處理工具 408:第二基板處理工具 412:轉移台 416:氣閘裝載站台 500:基板處理工具 502:轉移機器人 504:轉移機器人 506:系統控制器 508:處理模組 510:設備前端模組 512:槽位 514:側 516:真空轉移模組 518:站台 600:四站台處理模組 602:主軸 604:真空源 606:前級組件 608:站台 610:晶圓支架 611:RF元件外殼 612:升降銷致動器組件 614:控制閥 616:下部出口 702:供應線 704:主軸馬達 706:連接器 708:控制線 710:其它模組 712:入口 902:第一分岔 904:第二分岔 906:第一部分 908:管段、前級、第二部分 910:第三部分 912:T型件連接器 914:彎頭 916:彎頭 918:管套接頭 920:凸緣 922:增壓腔室 1102:指示器 1104:管件 1106:慢速泵入口 1108:TEOS轉接件 1110:氣箱轉接件 1112:前驅物或其它轉接件 1114:哈斯丁計埠 1116:波紋管 1200:方法 1202:操作 1204:操作 1206:操作 1300:真空腔室 1302:電漿 1304:上電極 1306:基板 1308:下電極 1310:矽聚焦環 1312:封閉環 1314:匹配網路 1316:控制器 1318:RF產生器 1320:氣體泵 1322:氣體源 1324:接地電極 1326:絕緣體 1328:感測器 1330:處理區
在附圖之視圖中,藉由示例而非限制的方式示出了一些實施例:
圖1-4示出了基板處理工具之示意圖,其中可以部署本揭露內容之示例性RF功率路徑以及氣流對稱。
圖5為包含四站台處理模組之示例性基板處理工具之示意圖,其中可以部署本揭露內容之示例性RF功率路徑以及氣流對稱。
圖6-8示出了根據示例性實施例之安裝在QSM上之RF功率路徑元件以及前級組件 (foreline assembly) 之示例性配置。
圖9-10示出了根據示例性實施例之前級組件 (為清晰起見,未安裝至QSM) 之圖解視圖。
圖11示出了根據一示例性實施例之管件之圖解視圖。
圖12為一流程圖,其示出了根據一示例性實施例之方法中的操作。
圖13示出了根據一示例之半導體製造處理之態樣。
600:四站台處理模組
602:主軸
604:真空源
606:前級組件
608:站台
610:晶圓支架
612:升降銷致動器組件
614:控制閥
616:下部出口

Claims (22)

  1. 一種多站台處理工具,包含: 多個處理腔室,每一處理腔室位於該多站台處理工具之一站台;以及 一RF功率路徑元件,其與該多站台處理工具之每一站台相關,該RF功率路徑元件係定位並配置以使得當通電時,創建相對於該多站台處理工具之一對稱軸之一對稱RF功率路徑。
  2. 如請求項1所述之多站台處理工具,其中該對稱軸位於該多站台處理工具之一中心。
  3. 如請求項2所述之多站台處理工具,其中該多站台處理工具之該中心是由該多站台處理工具之一主軸馬達之一軸所定義。
  4. 如請求項1所述之多站台處理工具,其中該RF功率路徑元件包含一RF元件外殼。
  5. 如請求項1所述之多站台處理工具,其中該多站台處理工具包含一四站台處理模組 (QSM),其具有四個站台,每一站台包含一處理腔室。
  6. 如請求項5所述之多站台處理工具,其中與該QSM之該四個站台中之一第一站台相關之一第一RF功率路徑元件之一幾何位置以及方向,對稱於與該QSM之該四個站台中之一第二站台相關之一第二RF功率路徑元件之一幾何位置以及方向。
  7. 如請求項6所述之多站台處理工具,其中與該第一站台相關之一第一非RF元件之幾何位置以及方向,對稱於與該QSM之該第二站台相關之一第二非RF元件之幾何位置以及方向。
  8. 如請求項7所述之多站台處理工具,其中該RF功率路徑元件或非RF元件之一不對稱性對於該多站台處理工具之每一站台是共有的。
  9. 如請求項5所述之多站台處理工具,更包含: 一前級組件,其包含四個入口,每一該入口可與該QSM之一站台之一腔室埠連接; 一出口,其可直接或間接與一真空源連接; 一第一前級分岔,其設置於靠近該前級組件之一出口; 二個第二前級分岔,每一該第二前級分岔設置於該第一前級分岔以及該四個入口中相對應之一對入口之間;以及 該第一以及該第二前級分岔將該前級組件分為三個部分,一第一部分從該四個入口延伸至該二個第二前級分岔,一第二部分從該二個第二前級分岔延伸至該第一前級分岔,以及一第三部分從該第一前級分岔延伸至該前級組件之該出口。
  10. 如請求項9所述之多站台處理工具,其中在每一部分之一前級之一相對應直徑: 在從該四個入口之至少其中之一至該前級組件之該出口之一氣流方向上,在一相對應分岔處逐步增加;以及 在該前級組件之一相對應部分內是恆定的。
  11. 如請求項10所述之多站台處理工具,其中在該第一部分中之一前級之一直徑在38.1mm (約1.5英寸) 至63.5mm (約2.5英寸) 的範圍內,在該第二部分中之一前級之一直徑在63.5mm (約2.5英寸) 至88.9mm (約3.5英寸) 的範圍內,以及在該第三部分中之一前級之一直徑在88.9mm (約3.5英寸) 至114.3mm (約4.5英寸) 的範圍內。
  12. 如請求項11所述之多站台處理工具,其中在該第一部分中之該前級之該直徑為50.8mm (約2英寸),在該第二部分中之該前級之該直徑為76.2mm (約3英寸),以及在該第三部分中之該前級之該直徑為101.6mm (約4英寸)。
  13. 如請求項12所述之多站台處理工具,更包含一T型件連接器,其設置在該二個第二前級分岔之各者。
  14. 如請求項13所述之多站台處理工具,其中該T型件連接器包含向外收斂之錐形部分,其將該第一部分之該前級之該直徑過渡至該第二部分之一前級之該直徑。
  15. 如請求項14所述之多站台處理工具,其中該T型件連接器以及該QSM之一底側之間之一間隔距離係配置以容納一RF功率路徑元件於該T型件連接器以及該QSM之該底側之間。
  16. 一種在多站台處理工具上提供對稱RF功率路徑之方法,該方法包含: 提供一多站台處理工具,其包含多個處理腔室,每一處理腔室位於該多站台處理工具之一站台上;以及 安裝與該多站台處理工具之每一站台相關聯的一RF功率路徑元件,該RF功率路徑元件係定位並配置以使得當通電時,相對於該多站台處理工具之一對稱軸,創建一對稱RF功率路徑。
  17. 如請求項16所述之方法,其中該對稱軸位於該多站台處理工具之一中心。
  18. 如請求項17所述之方法,其中該多站台處理工具之該中心是由該多站台處理工具之一主軸馬達之一軸所定義。
  19. 如請求項16所述之方法,其中該RF功率路徑元件包含一RF元件外殼。
  20. 如請求項16所述之方法,其中該多站台處理工具包含一四站台處理模組 (QSM)。
  21. 如請求項20所述之方法,更包含為一對稱氣流配置該QSM,該QSM之該配置至少包含: 裝配一前級組件至該QSM,該前級組件包含: 四個入口,每一入口可與該QSM之一站台之一腔室埠連接; 一出口,其可直接或間接連接至一真空源; 一第一前級分岔,其設置於靠近該前級組件之一出口; 二個第二前級分岔,每一第二前級分岔設置在該第一前級分岔以及該四個入口之相對應之一對入口之間;以及 該第一以及該第二前級分岔將該前級組件分為三個部分,一第一部分從該四個入口延伸至該二個第二前級分岔,一第二部分從該二個第二前級分岔延伸至該第一前級分岔,一第三部分從該第一前級分岔延伸至該前級組件之該出口。
  22. 如請求項21所述之方法,更包含在該QSM之每一站台中使用該對稱RF功率路徑以及一對稱氣流來處理該QSM中之一基板。
TW112102638A 2022-02-01 2023-01-19 Rf功率路徑對稱 TW202347404A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263305606P 2022-02-01 2022-02-01
US63/305,606 2022-02-01

Publications (1)

Publication Number Publication Date
TW202347404A true TW202347404A (zh) 2023-12-01

Family

ID=87552762

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112102638A TW202347404A (zh) 2022-02-01 2023-01-19 Rf功率路徑對稱

Country Status (2)

Country Link
TW (1) TW202347404A (zh)
WO (1) WO2023150029A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102308675B (zh) * 2009-02-04 2016-01-13 应用材料公司 用于等离子体工艺的接地回流路径
SG11201402058TA (en) * 2011-11-24 2014-09-26 Lam Res Corp Symmetric rf return path liner
US9484233B2 (en) * 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US20170053781A1 (en) * 2015-08-18 2017-02-23 Lam Research Corporation Multi-Station Chamber Having Symmetric Grounding Plate

Also Published As

Publication number Publication date
WO2023150029A1 (en) 2023-08-10

Similar Documents

Publication Publication Date Title
TWI574587B (zh) A plasma processing apparatus, and a plasma processing method
US10665427B2 (en) RF generator for generating a modulated frequency or an inter-modulated frequency
TW202120860A (zh) 四站式製程模組的前級總成
JP2014505362A (ja) 半導体基板の可変密度プラズマ処理
TWI775814B (zh) 用以降低發弧的氦插塞設計
US10672591B2 (en) Apparatus for removing particles from a twin chamber processing system
KR102582667B1 (ko) 플라즈마 식각 장치를 이용한 반도체 소자의 제조 방법
KR20210149894A (ko) 듀얼 주파수, 직접 구동 유도 결합 플라즈마 소스
TWI718674B (zh) 電漿處理裝置
US20230059495A1 (en) Optimization of Radiofrequency Signal Ground Return in Plasma Processing System
US20240063000A1 (en) Method of cleaning plasma processing apparatus and plasma processing apparatus
US20210118648A1 (en) Substrate processing system and method for replacing edge ring
TW202347404A (zh) Rf功率路徑對稱
US20230246624A1 (en) Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
US20200168442A1 (en) Focus ring height adjusting device and wafer etching apparatus including the same
TWI723162B (zh) 磁阻元件之製造方法及磁阻元件之製造系統
JP2016162794A (ja) 真空処理装置
US20230113683A1 (en) Rf reference measuring circuit for a direct drive system supplying power to generate plasma in a substrate processing system
US20040211519A1 (en) Plasma reactor
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
TW202413826A (zh) 用於基板處理系統的節流閥
JP2022534564A (ja) 均一性調整のためのシャワーヘッドインサート
TW202331918A (zh) 電漿處理裝置及電漿處理方法
KR20240043799A (ko) 대칭적인 rf 리턴 경로를 제공하는 프로세스 모듈 챔버
WO2023146864A1 (en) Method and apparatus for radio frequency grid design in an esc to reduce film asymmetry