TW201634742A - 用於電漿製程的接地回流路徑 - Google Patents

用於電漿製程的接地回流路徑 Download PDF

Info

Publication number
TW201634742A
TW201634742A TW105104646A TW105104646A TW201634742A TW 201634742 A TW201634742 A TW 201634742A TW 105104646 A TW105104646 A TW 105104646A TW 105104646 A TW105104646 A TW 105104646A TW 201634742 A TW201634742 A TW 201634742A
Authority
TW
Taiwan
Prior art keywords
substrate
spring form
substrate support
coupled
chamber
Prior art date
Application number
TW105104646A
Other languages
English (en)
Other versions
TWI558843B (zh
Inventor
崔壽永
帝那羅賓L
栗田真一
懷特約翰M
索倫森卡爾A
克何傑佛瑞A
安瓦蘇華
古田學
稻川真
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201634742A publication Critical patent/TW201634742A/zh
Application granted granted Critical
Publication of TWI558843B publication Critical patent/TWI558843B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本發明描述對在兩個電極之間的電流提供電氣對稱接地或回流路徑 的方法與設備。該設備包括至少一個射頻(RF)裝置,該至少一個射頻裝置耦接至該等電極中的一個且位於一製程腔室的一側壁及/或一底部之間。此方法包括相對另一個電極移動一個電極,並基於該位移電極的位置而使用耦接至一側壁與該電極的RF裝置、耦接至該腔室的一底部與該電極的RF裝置、或其組合中的一或兩者以實現一接地回流路徑。

Description

用於電漿製程的接地回流路徑
本發明實施例一般關於使用電漿處理諸如太陽能面板基材、平板基材、或半導體基材之基材的方法與設備。明確地說,本發明實施例關於應用在電漿製程腔室的射頻(RF)電流回流路徑。
一般利用電漿增強化學氣相沈積(PECVD)在諸如半導體基材、太陽能面板基材、及液晶顯示器(LCD)基材的基材上沈積薄膜。PECVD一般藉由將前驅物氣體引入真空腔室(其具有基材置於基材支撐件上)中來完成。通常引導前驅物氣體通過位於靠近真空腔室頂部設置的氣體分配板。在真空腔室中的前驅物氣體藉由耦接至該腔室的一或多個RF源施加射頻(RF)功率至腔室能量化(例如,激發)而形成電漿。激發氣體產生反應以在基材的表面上形成一材料層,其中該基材係定位於溫度受控基材支撐件上。氣體分配板一般連接至RF功率源且基材支撐件通常連接至腔室主體,提供RF電流回流路徑。
一般希望使用PECVD製程沈積的薄膜能具有均勻性。例如,通常使用PECVD在平板上沈積非晶矽膜(例如微晶矽膜、或多晶矽膜)以形成電晶體或太陽能電池中所需要的p-n接合區。非晶矽膜或多晶矽膜的品質與均勻性對商業操作是重要的。因此需要具有改良之電漿與沈積均勻性的PECVD腔室。
由於對於更大LCD與太陽能面板的需求持續增加,使用來製造LCD與太陽能面板的基材尺寸之需求也因此增加。基材的尺寸目前通常面積超過一平方公尺。當與半導體基材(其直徑通常約為300毫米)的尺寸相較時,可輕易地了解用來處理半導體晶圓之腔室的大小不足以處理1平方公尺或更大的基材。因此,需要發展大面積製程腔室。
在某些情況下,此等大面積製程腔室可等同於僅放大尺寸的半導體對應腔室且達成可接受的結果。在其他情況下,由於當放大製程腔室時發生未預見的困難,放大製程腔室的尺寸並不可行。設計用於施加RF能量的大腔室即為一實例,放大並無法產生滿意結果。
另外,在大面積製程腔室中執行之製程的製程條件需要被調整。判定適當的氣流、時序、RF功率施加、溫度條件、及其他製程變數需要遠超出例行程序的大量研究和實驗。
因此,需要小心地設計可處理大面積基材的腔室。
本發明實施例一般關於電漿處理基材的方法與設備。明確地說,本發明實施例提供具有一或多個適於提供一進階RF回流路徑之射頻(RF)接地或回流裝置的電漿製程腔室。
在一實施例中,描述用於一電漿製程腔室的一RF回流裝置。該裝置包含一基底,其具有一軸,該軸可動地設置於形成在該基底中之一開口內;一彈簧,其耦接在該基底與該軸之間,該彈簧包含由一金屬或金屬合金製成的一第一材料,該第一材料具有在周圍溫度下與在約200℃或更高的一製程溫度下係大體上相同的撓性性質;及一第二材料,其大體上圍繞該第一材料,該第二材料不同於該第一材料。
在另一實施例中,描述一電漿製程系統。該系統包含一腔室;及至少一個電極,其設置於該腔室中,該至少一個電極利於一電漿在該腔室內的生成且可在該腔室內相對一第二電極移動,該至少一個電極被維持電氣耦接同時藉由一或多個撓性接觸構件相對於該第二電極移動,至少一個該一或多個撓性接觸構件包含由一金屬或金屬合金 製成的一材料,該由金屬或金屬合金製成的材料在達到高於約200℃的溫度時係大體上維持彈性而不塑性變形。
在另一實施例中,描述一方法。該方法包括在設置於一腔室內的一可動電極與一固定電極之間施加一射頻功率;提供一第一射頻回流路徑至該腔室的一底部;相對該固定電極,位移該可動電極;及經由一或多個可壓縮構件,提供一第二射頻回流路徑至該腔室的一側壁。
D‧‧‧距離
100‧‧‧製程系統
101‧‧‧基材
102‧‧‧腔室主體
103‧‧‧噴頭組件
104‧‧‧基材支撐件
105‧‧‧功率源
106a‧‧‧第一輸出
106b‧‧‧第二輸出
107‧‧‧遠端電漿源
108a‧‧‧製程氣體電漿
108b‧‧‧清潔氣體電漿
109a‧‧‧第一RF裝置
109b‧‧‧第二RF裝置
110a-110d‧‧‧舉升銷
111‧‧‧製程體積
114‧‧‧氣體分配板
116‧‧‧背板
117a‧‧‧底部
117b‧‧‧側壁
118‧‧‧排放系統
121‧‧‧匹配電路
122‧‧‧製程氣體源
123a‧‧‧第一引線
123b‧‧‧第二引線
125‧‧‧內表面
131‧‧‧氣體體積
134‧‧‧導管
135‧‧‧絕緣體
138‧‧‧致動器
200‧‧‧條帶
229、230‧‧‧連接組件
231、232‧‧‧夾鉗件
233、234‧‧‧安裝槽
235、236‧‧‧緊固件
237‧‧‧中央槽
238‧‧‧第一端
239‧‧‧第二端
240‧‧‧下側
300‧‧‧條帶
329、330‧‧‧連接組件
335、336‧‧‧緊固件
400‧‧‧製程系統
405‧‧‧側壁區域
410‧‧‧閥門
412‧‧‧傳送口
415‧‧‧接觸構件
452‧‧‧支架
454‧‧‧彈性部分
456‧‧‧接觸部分
458‧‧‧延伸構件
460‧‧‧遮蔽框架
705‧‧‧基底
706‧‧‧開口
707‧‧‧第一軸
709‧‧‧第二軸
710A、710B‧‧‧彈簧形式件
712‧‧‧管狀構件
713‧‧‧軸環
714‧‧‧安裝部分
715‧‧‧接觸墊
716‧‧‧頂部部分
717‧‧‧接觸墊蓋
750‧‧‧行進距離
770‧‧‧第一或核心材料
775‧‧‧第二或外層材料
780‧‧‧緊固件
900‧‧‧接觸構件
905A、905B‧‧‧端
910A、910B、910C、910D‧‧‧彈簧形式件
915‧‧‧基底
1000‧‧‧接觸構件
1002‧‧‧內側壁
1004‧‧‧上部分
1005‧‧‧基底
1006‧‧‧下部分
1008‧‧‧隧道
1010A、1010B‧‧‧彈簧形式件
1018‧‧‧間隔器
1060‧‧‧接觸面
1200‧‧‧接觸構件
1210‧‧‧彈簧形式件
1215A、1215B、1215C‧‧‧彎帶
1300‧‧‧接觸構件
1305‧‧‧滾輪組件
1308‧‧‧內管狀構件
1310‧‧‧彈簧形式件
1315‧‧‧軸承
1320‧‧‧豎架
1325‧‧‧軸
1330‧‧‧空腔
1335‧‧‧下豎架
1340‧‧‧彈簧減振座
1345‧‧‧緊固件
1400‧‧‧接觸構件
1410‧‧‧彈簧形式件
1415‧‧‧支架
1420‧‧‧側
1425‧‧‧底部
1430A、1430B‧‧‧夾鉗件
1435‧‧‧軸襯
1440‧‧‧緊固件
1500‧‧‧電漿製程腔室
1505‧‧‧接觸構件
1552‧‧‧支架
1554‧‧‧彈性部分
1556‧‧‧接觸部分
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1A圖為電漿製程系統之一實施例的示意截面圖。
第1B圖為第1A圖中所示之電漿製程系統一另一實施例的示意截面圖。
第2A圖為RF裝置之一實施例的示意截面圖。
第2B圖示意性例示第2A圖所示之RF裝置的立視圖。
第3A圖為RF裝置之另一實施例的示意截面圖。
第3B圖為第3A圖中之RF裝置的示意截面圖。
第4圖為電漿製程系統之另一實施例的示意截面圖。
第5圖為第4圖之製程系統的示意截面圖。
第6圖為沿著第5圖之腔室主體之線6-6切開的示意截面俯視圖。
第7A圖為RF裝置之另一實施例的等角視圖。
第7B圖為第7A圖中之RF裝置的側視圖。
第8A圖為自腔室主體內部觀察複數個可壓縮接觸構件之耦合組態之一實施例的等角截面圖。
第8B圖為第8A圖之部分腔室主體的俯視圖。
第9A圖為可壓縮接觸構件之另一實施例的等角視圖。
第9B圖為第9A圖中所示之可壓縮接觸構件的分解等角示圖。
第9C及9D圖為支架452之一實施例的等角視圖。
第10A圖為可壓縮接觸構件之另一實施例的示意圖。
第10B圖為可壓縮接觸構件之另一實施例的示意圖。
第11A及11B圖為腔室主體之部份的側面截面圖,其示出第10A圖中的可壓縮接觸構件。
第12A圖為可壓縮接觸構件之另一實施例的等角視圖。
第12B-12E圖為彈簧形式件之多種實施例的側視圖,其可使用在第12A圖中所示的接觸構件。
第13A與13B圖為可壓縮接觸構件之另一實施例的截面圖。
第13C圖為第13A與13B圖中所示之接觸構件的分解等角視圖。
第14A與14B圖為可壓縮接觸構件之另一實施例的等角視圖。
第14C圖為第14A與14B圖中所示之接觸構件的側視圖。
第14D圖為第14A與14B圖所示之接觸構件之彈簧形式件於經部分壓縮之位置的側視截面圖。
第14E與14F為第14A與14B圖中所示之接觸構件的等角視圖,其圖示一彈簧形式件的安裝。
第14G圖為第14A及14B圖中所述之接觸構件的側視截面圖,該接觸構件在升高位置耦接至一基材支撐件。
第15圖為電漿製程系統之另一實施例的示意截面圖。
為助於理解,各圖中相同的元件符號盡可能代表相似的元件。應理解某一實施例的元件及/或處理步驟當可併入其他實施例,在此不另外詳述。
本發明實施例一般關於使用電漿處理基材及/或使用電漿清潔部件的方法與設備。本文所描述的實施例關於藉由提供電流之改良接地或回流路徑以增強電漿形成以及沈積材料至一基材上的方法。在以下的描述當中,將參考一電漿增強化學氣相沈積(PECVD)腔室,但應了解本文的實施例亦可執行在其他包括物理氣相沈積(PVD)腔 室、蝕刻腔室、半導體製程腔室、太陽能電池製程腔室、以及有機發光二極體顯示器(OLED)製程腔室等的其他腔室。適當的腔室可購自加州聖塔克拉拉Applied Materials,Inc.的子公司,AKT America,Inc.。應了解本文所討論的實施例可在購自其他製造商的腔室中執行。
一般利用本發明實施例來處理矩形基材,例如用於液晶顯示器或平板的基材,以及用於太陽能面板的基材。其他適合基材可為圓形,例如半導體基材。使用來處理基材的腔室通常包括一基材傳送口,該基材傳送口形成在該腔室的一側壁中並用以傳送基材。傳送口一般包括較基材之一或多個主要尺度略大的長度。傳送口在RF回流模式中產生挑戰。可利用本發明處理任何尺寸或形狀的基材。然而,本發明對具有約15,600平方公分之平面面積的基材及包括具有約90,000平方公分(或更大)的平面面積的基材提供特別的優勢。基材表面積的尺寸增加使得提供適當接地路徑(特別是在傳送口或靠近傳送口之處)的困難度隨之增加,而在均勻製程中顯示出挑戰。本文所描述的實施例提供在處理大基材尺寸期間對於此等問題的解決方法。
第1A圖為電漿製程系統100之一實施例的示意截面圖。電漿製程腔室100經配置以使 用電漿來處理大面積基材101以在大面積基材101上形成結構和元件,而使用在液晶顯示器(LCD)、平板顯示器、有機發光二極體(OLED)或用於太陽能電池陣列的光伏電池的製造。基材101可為金屬、塑膠、有機材料、矽、玻璃、石英、或聚合物以及其他適合材料的薄片。基材101可具有大於約1平方公尺的表面積,例如大於約2平方公尺。在其他實施例中,基材101可包括約15,600平方公分或更大的平面面積,例如90,000平方公分平面面積(或更大)。該結構可為包含複數序列沈積與光罩步驟的薄膜電晶體。其他結構可包括多個p-n接合區以形成用於光伏電池的二極體。
可配置電漿製程系統100以沈積多種材料至大面積基材101上,包括但非限定於介電材料(例如,SiO2、SiOxNy、其衍生物或其組合)、半導體材料(例如,矽及其摻雜劑)、阻障材料(例如,SiNx、SiOxNy或其衍生物)。藉由電漿製程系統100形成或沈積至大面積基材上之介電材料與半導體材料的特定範例可包括磊晶矽、多晶矽、非晶矽、微晶矽、鍺化矽、鍺、二氧化矽、氮氧化矽、氮化矽、其摻雜劑(例如,B、P、或As)、其衍生物或其組合。電漿製程系統100亦經配置以容納諸如氬、氫、氮、氦或其組合的氣體,用來作為淨化氣體或載氣(例如,Ar、H2、N2、He、 及其衍生物、或組合)。使用系統100沈積矽薄膜至大面積基材101的一個實例可使用矽烷在氫載氣中作為製程氣體而完成。
如第1A圖中所示,電漿製程系統100一般包含腔室主體102,其包括至少部分界定製程體積111的一底部117a及多個側壁117b。基材支撐件104設置於製程體積111中。基材支撐件104適於在製程期間將基材101支撐於頂表面上。基材支撐件104耦接至致動器138,該致動器138適於至少在垂直方向移動基材支撐件以利於基材101的傳送及/或調整介於基材101與噴頭組件103之間的距離D。一或多個舉升銷110a-110d可延伸穿過該基材支撐件104。當基材支撐件104藉由致動器138降低時,為了有利於基材101的傳送,舉升銷110a-110d適於接觸腔室主體102之底部117a並支撐基材101,如第1B圖中所示。在如第1A圖中所示的一製程位置,舉升銷110a-110d適於與基材支撐件104的上表面齊平或些微低於基材支撐件104的上表面進而允許基材101平躺在基材支撐件104上。
噴頭組件103經配置以將製程氣體由製程氣體源122供應至製程體積111中。電漿製程系統100亦包含一排氣系統118,該排氣系統118經配置以對製程體積111施加負壓。噴頭組件103 一般以一大體上平行的關係相對於基材支撐件104設置。
在一實施例中,噴頭組件103包含一氣體分配板114及背板116。背板116可具有阻擋板的功能以使氣體體積131形成於氣體分配板114與背板116之間。氣體源122藉由導管134連接至氣體分配板114。在一實施例中,遠端電漿源107耦接至導管134用以通過氣體分配板114來供應活化氣體電漿至製程體積111。來自遠端電漿源107的電漿可包括用於清潔設置於製程體積111中之腔室部件的活化氣體。在一實施例中,活化清潔氣體流動至製程體積111中。用於清潔的適當氣體包括氟(F2)、三氟化氮(NF3)、六氟化硫(SF6)及含碳/氟氣體(例如氟碳化合物,諸如八氟四氫呋喃(C4F8O)、羰基氟化物(COF2)、六氟乙烷(C2F6)、四氟甲烷(CF4)、全氟丙烷(C3F8)、及其組合)。雖然可能使用含碳與氧的氣體,但該等氣體由於可能產生的碳及/或氧污染而不受歡迎。
氣體分配板114、背板116、及導管134一般由導電材料形成且彼此電氣連通。腔室102亦由導電材料形成。腔室主體102一般與噴頭組件103電氣絕緣。在一實施例中,噴頭組件103藉由絕緣體135安裝至腔室主體102上。
在一實施例中,基材支撐件104亦有導電性,且基材支撐件104與噴頭組件103經配置為相對電極,以在製程期間及/或預處理或後處理製程期間在該基材支撐件104與噴頭組件103間產生製程氣體的電漿108a。另外,可利用基材支撐件104及噴頭組件103在清潔製程期間來支撐清潔氣體的電漿108b(第1B圖)。
在製程之前、製程期間及製程之後,射頻(RF)功率源105一般使用來在噴頭組件103與基材支撐件104之間產生電漿108a,且可用來維持能量化物質或進一步激發自遠端電漿源107供應的清潔氣體。在一實施例中,RF功率源105藉由阻抗匹配電路121的一第一出口106a耦接至噴頭組件103。阻抗匹配電路121的第二出口106b電氣連通至該腔室主體102。
在一實施例中,電漿製程腔室100包括複數第一RF裝置109a及複數第二RF裝置109b。第一RF裝置109a與第二RF裝置109b中的各者耦接在基材支撐件104與腔室主體102的接地部件之間。在一實施例中,複數RF裝置109a與109b經配置以在製程期間及/或腔室清潔程序期間控制返回RF電流的回流路徑。第一RF裝置109a與第二RF裝置109b中的各者可被選擇性致動而對電流為開路或閉路。複數RF裝置109a與 109b中的各者可為適於在基材支撐件104與腔室主體102之接地部件間提供RF導電媒介的彈簧形式件、條帶、電線、或電纜。在一實施例中,RF裝置109a與109b經配置為撓性導電材料製成的條帶或以撓性導電材料來鍍層的條帶。在一態樣中,RF裝置109a與109b經配置為條帶,其中RF裝置109a具有較RF裝置109b短的長度以利於電流的較短路徑。
在一實施例中,RF裝置109a、109b可經配置以使RF回流路徑對RF電流為開路(意即,防止RF電流流動)。在此實施例中,RF裝置109a與109b可經配置為一開關。在一態樣中,RF裝置109a與109b中之各者的打開/關閉特徵可藉由基材支撐件相對於噴頭組件103的高度來控制。在一些實施例中,藉由基材支撐件的高度(藉由啟動開關,或將選定的RF回流路徑的另一部分與RF裝置斷開)來防止電流流經預定之RF裝置109a、109b中的一個。在一範例中,選定的RF裝置可與腔室主體102的接地部件(意即,與RF功率源105電氣連通之腔室主體102的部件)斷電。在一實施例中,利用複數RF裝置109a與109b作為RF接地回流裝置。然而,RF裝置109a、109b中的一或多個可使用在其他電連接以在電漿製程系統100中施加或輸送電流。
在製程期間,一或多個製程氣體自氣體源122通過噴頭組件103流動至製程體積111。在噴頭組件103與基材支撐件104之間施加RF功率以將製程氣體產生為電漿108a用以處理基材101。一般期望在製程期間具有電漿分布的均勻性,儘管調節電漿均勻性也是有用的。然而,電漿108a的分布由多種因子判定,例如製程氣體的分布、製程體積111的幾何結構、介於噴頭組件103與基材支撐件104間的距離D、在相同基材或不同基材上沈積製程間的變化、沈積製程及清潔製程、及RF裝置109a與109b的電氣性質。為了改變接地返回RF回流路徑,可在預處理、後處理、製程及清潔期間調整介於基材支撐件104與噴頭組件間的間隔或距離D。在一態樣中,RF裝置109a經配置為可撓性並基於基材支撐件104相對於噴頭組件103的位置提供返回RF電流一開路。在另一態樣中,RF裝置109a經配置為可撓性並基於基材支撐件104相對於噴頭組件103的位置提供返回RF電流一閉路。在此實施例中,RF裝置109a的撓性在距離D的範圍內提供一閉路,其允許介於基材支撐件104與噴頭組件103之間的間隔能被調整,同時能執行多種製程。例如基材支撐件104可相對於噴頭組件103移動,同時維持RF裝置109a的閉路。
RF電流路徑的一實施例藉由第1A圖中的箭頭示意性圖示。在第1A圖中,RF電流路徑可顯示在基材101的製程期間的RF電流流動。RF電流一般由RF功率源105的第一引線123a行進至該阻抗匹配電路121的第一出口106a,隨後沿著導管134的外表面行進至背板116的背表面,隨後至氣體分配板114的前表面。自氣體分配板114的前表面,RF電流行經電漿108a並到達基材101的頂表面或基材支撐件104,隨後通過複數RF裝置109a及/或109b至腔室主體102的內表面125。自內表面125,電流由阻抗匹配電路121返回至RF功率源105的第二引線123b。
雖然返回RF電流的範例示於第1A圖中且本文描述行進穿過或通過複數RF裝置109a與109b中的一個或全部,應了解電弧(arcing)可能不經意地在基材支撐件104與腔室主體102之內表面125的部分之間發生。電弧,或電弧電位可在製程體積111內藉由多種情況造成。例如,可至少在基材支撐件104相對於腔室主體102之接地部件的位置處或鄰近位置處產生電弧。電弧、或電弧電位對在系統100內實行的製程為不利的。另外,系統100的部件可能因電弧受損,因此,在PECVD系統內減少或消除電弧或電弧電位為首要的挑戰。當製程參數改變時及/或使用大基材時即 更新挑戰,並且解決此等挑戰需要大量超出例行程序的研究與實驗。本文描述的實施例藉由提供可利用來最小化或消除在此等系統中之電弧的RF裝置來解決此等挑戰。因此,在一些實施例中,致使RF電流主要流過或通過複數RF裝置109a、109b中的一或多個,以最小化腔室主體102與基材支撐件104間的電弧電位。另外,複數RF裝置109a、109b的定位或間隔可經調整,以最小化電弧或電弧電位及/或以增強RF回流。
在一些實施例中,返回RF電流可橫過複數RF裝置109a中的一或多個作為自基材支撐件104沿著側壁117b之內表面125至第二引線123b的最短路徑。在其他實施例中,返回RF電流可橫過複數RF裝置109B中的一或多個作為自基材支撐件104沿著腔室底部117a之內表面125及側壁117b之內表面125至第二引線123b的最短路徑。橫過複數RF裝置109a及109b中的一或多個的不同RF回流路徑由下文詳細解釋。
在製程期間的RF回流
在一實施例中,在製程期間之RF電流的回流路徑取決於基材支撐件104與噴頭組件103之間的間隔(其繪示為距離D)。此間隔藉由基材支撐件104的高度來控制。在一實施例中,距 離D在製程期間介於約200密耳至約2000密耳之間。在此間隔情況下(例如,基材支撐件104的高度),RF裝置109a與109b可同時保持電氣耦接至RF功率源105。在此實施例中,RF電流的RF回流路徑可基於RF裝置109a與109b的電氣性質及位置而決定。電氣性質包括RF裝置109a與109b的電阻、電阻抗及/或電導性。例如,由於複數RF裝置109a較靠近且對返回至RF功率源105之第二引線123b的RF電流具有較小電阻抗,該RF電流主要流動通過複數RF裝置109a,同時很少或甚至沒有RF電流流經複數RF裝置109b。
在一實施例中,可在基材支撐件104於不同高度或間隔處執行複數沈積製程。在一實例中,第一沈積製程可在距離D介於約200密耳至約1500密耳之間時的第一間隔處執行。在此實施例中,複數RF裝置109a與複數RF裝置109b可電氣耦接至基材支撐件104,使得返回RF電流流過全部的RF裝置109a與109b。在其他實例中,可在距離D大於約1200密耳至約1800密耳時(例如大於約1500密耳)的第二間隔處執行第二沈積製程。在此實施例中,複數RF裝置109a可電氣上或實體上自基材支撐件104斷開,以使返回RF電流僅流經RF裝置109b。在另一實例中,其他沈積製 程可在介於第一間隔與第二間隔之間的多種距離D處執行,以使返回RF電流流經RF裝置109a與109b中的一或兩者。
在清潔期間的RF回流
第1B圖為第1A圖中所示之電漿製程系統100的示意截面圖。在此圖中,示出不具基材的電漿製程系統100以繪示一腔室清潔程序。在此實施例中,能量化清潔氣體自遠端電漿源107流動至噴頭組件103及製程體積111以在製程體積111內供應電漿108b。在腔室清潔期間,基材支撐件104經移位而遠離噴頭組件103,且可施加來自RF功率源105的RF電流以維持或進一步能量化來自遠端電漿源107的清潔氣體。在一實施例中,基材支撐件104相對於噴頭組件103在清潔期間的間隔或距離D係大於基材支撐件104相對於噴頭組件103在製程期間的間隔或距離D。在一實施例中,介於基材支撐件104與噴頭組件103之間的距離D在清潔期間係介於約200密耳至約5000密耳,或更大。
在一實施例中,可在基材支撐件104於不同高度或間隔處時執行複數清潔步驟或製程。在一實施例中,可在距離D介於約1100密耳至約1500密耳時的第一間隔處執行第一清潔製 程。在此實施例中,複數RF裝置109a與複數RF裝置109b可電氣耦接至基材支撐件104,以使返回RF電流流經全部的RF裝置109a與109b。在另一實施例中,可在距離D小於約1100密耳(例如介於約400密耳至600密耳之間)時的第二間距處執行第二清潔製程。在此實施例中,複數RF裝置109a與複數RF裝置109b可電氣耦接至基材支撐件104,以使返回RF電流流經全部的RF裝置109a與109b。在又另一實施例中,可在距離D大於約1500密耳(例如介於約大於1500密耳至約6000密耳之間,如約5000密耳)時的第三間距處執行第三清潔製程。在此實施例中,複數RF裝置109a可電氣上或實體上與基材支撐件104斷開,以使得返回RF電流僅流過複數RF裝置109b。該等第一、第二、第三清潔間隔實例可依期望而同時或個別地使用以清潔腔室,且其他清潔製程可在介於第一間距與第三間距間的多種距離D處執行以使返回RF電流流經複數RF裝置109a與109b中的一或兩者。
在一實施例中,基材支撐件104的高度造成大體上防止RF電流流經複數RF裝置109a的情況。此情況可藉由在RF裝置109a中提供RF電路為開路所造成,或藉由改變RF裝置109a相對RF裝置109b的電氣性質所造成。在一實施例中, 基材支撐件104在清潔位置具有相對製程位置而較低的位置而致使自基材支撐件104的RF回流電流相對RF裝置109a而主要流經RF裝置109b。在一實施例中,當基材支撐件104在此較低位置時,RF裝置109a自側壁117b與基材支撐件104中的一個分開,因此在RF裝置109a中產生RF開路情況。在此實施例中,RF電流的唯一回流路徑是通過RF裝置109b。在另一實施例中,可連接RF裝置109a,但RF裝置109a的電阻大於RF裝置109b的電阻,此可造成RF回流電流主要流經RF裝置109b。可藉由暫時性耦接一可變電阻電路至選定的RF裝置109a,而提供RF裝置多種電阻。
在預處理製程中的RF回流
在沈積製程之前,有時希望在基材101上執行預處理製程。預處理製程包括將預處理氣體流動至噴頭組件103且在該腔室中於基材101上方撞擊一電漿。適合的預處理氣體包括惰性氣體或不具有可沈積在基材上之前驅物的氣體,例如氬(Ar)、氮(N2)、氦(He)、氨(NH3)及其組合與衍生物,以及不包含矽烷(例如,SiH4)的任何氣體。在一實施例中,為了在沈積製程的準備中加熱基材,預處理製程包括形成一惰性氣體或一不含有沈積前驅物之氣體的電漿。使用惰性氣體 電漿將有利於連同置於基材支撐件104上的加熱器來加熱基材101。基材的預處理加熱步驟縮短基材的加熱時間,這可增加產量。在另一實施例中,為了最小化或消除在基材傳送期間可能累積在基材中的靜電,預處理製程包括形成一惰性氣體或一不含有沈積前驅物氣體的電漿。在此實施例中,電漿重新分配或消除可能累積在基材中或基材上的靜電力,並備好該基材以用於沈積製程。
在一實施例中,在預處理製程期間之RF電流的回流路徑可取決於基材支撐件104與噴頭組件103之間的間距。介於基材支撐件104與噴頭組件103之間的間距(繪示為距離D)可為在製程位置與清潔位置之間的某一位置,例如介於約200密耳至約5000密耳之間,或更大。因此,基材支撐件104相對於噴頭組件103的預處理位置可包括第一或較低位置(例如,介於約1500密耳至約5000密耳之間)及第二或較高位置(例如介於200密耳或至約1500密耳之間)。
在此實施例中,RF回流路徑可包括RF電流沿著複數RF裝置109a與109b中的一或兩者返回至RF功率源105的第二引線123b。在一態樣中,可改變複數RF裝置109a與109b中的一或兩者的電氣性質,致使返回RF電流主要移經複數RF裝置109a與109b中的一或兩者。在一實施 例中,基材支撐件104的高度造成大體上防止RF電流流經RF裝置109a的情況。在一實施例中,基材支撐件104的高度決定返回RF電流經過複數RF裝置109a與109b的路徑。在一實例中,當基材支撐件104的高度在第二或較高位置時,返回RF電流絕大部分流經該等複數RF裝置109a。大部分流經RF裝置109a的流動甚至可在複數RF裝置109b與基材支撐件104及腔室主體102連接並電氣連通時發生。
在一態樣中,返回RF電流可相對於RF裝置109a而主要流經RF裝置109b。在一實施例中,當基材支撐件104在第一或較低位置時,RF裝置109a自側壁117b與基材支撐件104中之一者分開。在此實施例中,RF電流的唯一回流路徑是經過RF裝置109b。流經RF裝置109b的流動甚至可在複數RF裝置109a與基材支撐件104及腔室主體102連接並電氣連通時發生。在另一實施例中,當基材支撐件104在第二或較高位置時,RF裝置109b可經配置而具有不同電氣性質或經配置對RF電流為開路。在此實施例中,RF回流路徑由主要經過RF裝置109a返回的RF電流所構成。在另一態樣中,返回RF電流基於最短回流路徑而流經複數RF裝置109a與複數RF裝置109b中之一或兩者。
在後處理製程中的RF回流
在沈積製程之後,有時希望在基材101上執行後處理製程。後處理製程包括將後處理氣體流動至噴頭組件103且在腔室內於基材101上方撞擊一電漿。適合的後處理氣體包括惰性氣體,例如氬(Ar)、氮(N2)、氦(He)、氨(NH3)、氫氣(H2)及其組合與及其衍生物。在一實施例中,為了使剩餘在基材101上的靜電荷減到最少,後處理製程包括形成惰性氣體電漿,以助於基材101自基材支撐件104的上表面舉升。使用惰性氣體電漿有利於將基材101固持至基材支撐件104的靜電力重新分配且允許基材101可為了傳送目的而自基材支撐件104移開。
在一實施例中,RF電流的返回路徑在後處理製程期間可取決於基材支撐件104與噴頭組件103之間的間距。介於基材支撐件104與噴頭組件103之間的間距(繪示為D)可為介於製程位置與清潔位置間的某一位置,例如介於約200密耳至約5000密耳之間,或更大。因此,基材支撐件104相對於噴頭組件103的後處理位置可包括一第一或較低位置(例如,介於約1500密耳至約5000密耳之間)及一第二或較高位置(例如介於200密耳或至約1500密耳之間)。
在此實施例中,RF回流路徑可包括沿著複數RF裝置109a與109b中的一或兩者而返回至RF功率源105的第二引線123b的RF電流。在一實施例中,基材支撐件104相對於噴頭組件103及/或腔室主體102之內表面125的位置提供RF回流最少電阻的路徑。在一態樣中,可改變複數RF電流裝置109a、109b中的一或兩者的電氣性質,致使返回RF電流主要移經複數RF電流裝置109a、109b中的一或兩者。在一實施例中,基材支撐件104的高度提供主要經過RF裝置109a的RF一回流路徑。主要流經RF裝置109a的流動甚至可在複數RF裝置109b與基材支撐件104與腔室主體102連接並電氣連通時發生。
在另一實施例中,返回RF電流基於基材支撐件104的位置而相對於RF裝置109a主要流經RF裝置109b。主要流經RF裝置109b的流動甚至可在複數RF裝置109a基材支撐件104與腔室主體102連接並電氣連通時發生。在一實施例中,當基材支撐件104在第一或較低位置時,RF裝置109a自側壁117b與基材支撐件104中之一者分開。在此實施例中,RF電流的唯一回流路徑是經過RF裝置109b。在另一實施例中,當基材支撐件104在第二或較高位置時,RF裝置109b可經配置而具有不同電氣性質或經配置對RF電流為開 路。在此實施例中,RF回流路徑由主要經過RF裝置109a返回的RF電流所構成。在另一態樣中,返回RF電流基於最短回流路徑而流經複數RF裝置109a與複數RF裝置109b中之一或兩者。
第2A圖為RF裝置109b之一實施例的示意截面圖,該RF裝置109b經配置為一可撓性纜線、薄片材料或一條帶200。條帶200的第一端238藉由連接組件230電氣耦接至基材支撐件104。在一實施例中,連接組件230連接至基材支撐件104的下側240。RF裝置109b的第二端239藉由連接組件229電氣耦接至腔室底部117a。RF裝置109b可藉由其他機構例如緊固件235、236(如螺釘、夾鉗件)或其他可保持基材支撐件104、RF裝置109b與腔室底部117a之間電氣連接的方法而耦接至基材支撐件104與腔室底部117a。如第2A圖中所示,連接組件230包含一定形夾鉗件232及一或多個緊固件235。連接組件229亦包含一定形夾鉗件231及一或多個緊固件236。
連接組件229、230各包含可抗製程及清潔化學物質的低阻抗導電材料。在一實施例中,連接組件229、230包含鋁。或者,該等材料可包含鈦、鎳、不銹鋼、合金或其組合、或其他適合材料。在另一實施例中,用於連接組件229、230 的材料可包含鎳-鉬-鉻合金,如HASTELLOY®材料或HAYNES®242®材料。
第2B圖示意性例示第2A圖中所示之條帶200的立視圖。條帶200一般為可撓的平坦導電帶且在彎曲時不會展現顯著的恢復(例如,彈簧)力。在一實施例中,條帶200包含抗製程及清潔化學物質的一可撓、低阻抗導電材料。在一實施例中條帶200包含鋁。或者,條帶200可為以鋁或導電金屬鞘或塗層來鍍層、包覆或包層的鈦、鎳、不銹鋼、鈹銅、合金或其組合。在另一實施例中,條帶200包含一鎳-鉬-鉻(Ni-Mo-Cr)合金,例如HASTELLOY®材料或HAYNES®242®材料。Ni-Mo-Cr合金材料可以鋁或導電金屬鞘或塗層來鍍層、包覆或包層。
在一實施例中,條帶200的第一端238具有一安裝槽233且第二端239具有一安裝槽234。在一實施例中,條帶200具有一中央槽237,該中央槽237經配置以增加條帶200的撓性及/或利於舉升銷桿(例如第1A-1B圖中所示的舉升銷110a-110d之桿)的間隙。在一態樣中,中央槽237的尺寸大於舉升銷桿的直徑以在RF裝置109b鄰近舉升銷時利於條帶200的彎曲。
第3A圖為RF裝置109a之一實施例的示意截面圖,該RF裝置109a經配置為一可撓性 纜線、薄片材料或一條帶300。在一實施例中,示於第3A圖中的RF裝置109a可使用在腔室主體102中以在腔室一部份中介於基材支撐件104與側壁117b之間提供一電氣導電路徑,其中該等側壁117b為平坦或連續且不包括一基材傳送口。RF裝置109a的各端包括相似於第2A圖之連接組件229與230的連接組件329及330。緊固件335及336個別將RF裝置109a耦接至基材支撐件104及腔室主體的側壁117b。條帶300一般為可撓的平坦導電帶且在彎曲時不會展現顯著的恢復(例如,彈簧)力。在一實施例中,RF裝置109a包含抗製程及清潔化學物質的一可撓、低阻抗導電材料。在一實施例中,條帶300由鋁所構成。或者,條帶300可為以鋁或導電金屬鞘或塗層來鍍層、包覆或包層的鈦、鎳、不銹鋼、鈹銅、合金或其組合。在另一實施例中,條帶300包含一鎳-鉬-鉻(Ni-Mo-Cr)合金,例如HASTELLOY®材料或HAYNES®242®材料。Ni-Mo-Cr合金材料可以鋁或導電金屬鞘或塗層來鍍層、包覆或包層。
在此實施例中,基材支撐件104的位置為一升高位置,其可為一製程位置。基材支撐件104的升高位置將基材支撐件104與腔室底部117a間隔開,其拉伸、拉直或拉長RF裝置109b。在一實施例中,RF電流的較小電阻路徑基於較遠 距離及/或由RF裝置109b之延長方向造成的電阻而沿著RF裝置109a。在一實例中,返回RF電流的較小電阻路徑可為箭頭的方向,以使返回RF電流可主要沿著RF裝置109a行進而非沿著RF裝置109b。在其他實施例中,至少一部份的返回RF電流可沿著RF裝置109a及109b中的一或兩者行進。
第3B圖為第3A圖中之RF裝置109a與109b的示意截面圖。在此實施例中,基材支撐件104在一降低位置,其可為傳送位置或清潔位置。基材支撐件104的降低位置將基材支撐件104帶往鄰近腔室底部117a處處,且RF裝置109a為拉伸、拉直或拉長狀態。在一實施例中,RF電流的較小電阻路徑基於較遠距離及/或由RF裝置109a之延長方向造成的電阻而沿著RF裝置109b。在一實例中,返回RF電流的較小電阻路徑可為箭頭的方向,以使返回RF電流可主要沿著RF裝置109b行進而非沿著RF裝置109a。在其他實施例中,至少一部份的返回RF電流可沿著RF裝置109a及109b中的一或兩者行進。
第4圖為電漿製程系統400另一實施例的示意截面圖。電漿製程系統400的部分相似於第1A及1B圖所示且為了簡潔目的而不重複繪示。在此實施例中,所示之基材支撐件104處於傳 送位置。在此實施例中,側壁117b的至少一部份包括一基材進出口412,該基材進出口412的尺寸允許保持在工廠傳送裝置(例如,一機器臂或末端執行器(未示出))上之基材101的通過。傳送口412可經配置為一狹縫閥且包括可密封閥門410,該密封閥門410適於在基材傳送時打開傳送口412且在關閉時密封製程體積。一或多個舉升銷110a-110d延伸穿過基材支撐件104,以在基材104自末端執行器(未示出)通過口412接收時及當基材準備自末端執行器接收時支撐基材101。
側壁區域405繪示於鄰近傳送口412處。側壁區域405不同於側壁117b的其他部分,這是因為傳送口412包括形成於側壁117b內表面125中的一通道或孔隙,而該通道或孔隙並未存在於腔室主體102之側壁117b的其他部分中。例如,若腔室主體為矩形,側壁117b中的三面為平坦及/或包括一大體上平面形且連續的內表面125,同時側壁117b的第四表面包括側壁區域405,該側壁區域405由於通道界定該傳送口412而為不平坦及/或不連續。三側壁之內表面125與側壁區域405的內表面間的差異產生不一致的RF回流模式。在一實例中,施加至腔室的RF電流在製程體積111中的行進並非對稱。在一態樣中,傳送口412的存在提供一空間,其中RF電流在此空 間處並未集中或為最小,這是因為界定該傳送口412的通道或空間並不傳導RF電流。此舉造成在該口412處或靠近該口412處的不平均電漿,且相對於基材101的其他部分在該傳送口412或靠近該傳送口412區塊之基材101上造成不平均沈積。該等不同側壁需要不同RF回流方案以最佳化RF回流及/或防止在側壁區域405中的電弧。在一實施例中,側壁117b不包括傳送口412的三面可包括使用如第3A與3B圖中所示之條帶300的RF裝置109a。然而,在一實施例中,為了在舉升銷110a-110d與傳送口412之間對基材傳送提供凈空的通路,在基材支撐件104靠近傳送口412之一側上的RF裝置109a適於與基材支撐件104一起移動。
在此實施例中,至少一部份的RF裝置109a繪示為複數可壓縮接觸構件415。可壓縮接觸構件415可直接或藉由支架452耦接至基材支撐件104。可壓縮接觸構件415因此可隨著基材支撐件104移動。在一實施例中,接觸構件415包括一接觸部分456,該接觸部分456適於接觸耦接至腔室主體102之多個側壁117b的一或多個平板或延伸構件458。在一態樣中,延伸構件458之各者包含自腔室主體102之內表面125延伸的複數離散平板。在一實施例中,接觸部分456及延伸構件 458包含一導電材料且被使用來提供一電流路徑。可壓縮接觸構件415之各者也包括一彈性部分454,該彈性部分454適於壓縮及擴張或伸長以回應接觸部分456與各自延伸構件458之間基於基材支撐件104之高度的接觸。在一實施例中,電漿製程腔室400包括一遮蔽框架460,該遮蔽框架460適於在製程期間環繞限制(circumscribe)基材101之周邊及基材支撐件104之接收表面的至少一部份的範圍。當基材支撐件104如所示在一傳送位置時,遮蔽框架460可置於延伸構件456之上表面上。
第5圖為第4圖之製程系統400的示意截面圖,其示出該基材在一製程位置。在末端執行器(未示出)如第4圖中所示將基材101放置於舉升銷110a-110d上之後,末端執行器自製程體積縮回且閥門410將關閉以密封傳送口412。基材支撐件104隨後升高同時舉升銷110a-110d保持靜止直到基材支撐件104在一製程位置。當基材支撐件104移動到製程位置時,基材支撐件104將接觸藉由舉升銷110a-110d支撐的基材101。由於基材101的下陷,基材101以自中央到邊緣的方式開始接觸基材支撐件104。當基材支撐件104上升時舉升銷110a-110d保持靜止,直到基材支撐件 104已上升到使舉升銷110a-110d所支撐的基材101被基材支撐件104支撐的一位置。
藉由基材支撐件104的升高,舉升銷110a-110d相對於基材支撐件104的基材接受面降低以將基材101以一大體上平坦的方位放置於基材接收面上。在使用遮蔽框架460的實施例中,該遮蔽框架460藉由基材101及/或基材支撐件104的接觸以自一靜止位置舉升遮蔽框架460,而環繞限制基材101及/或基材支撐件104。在基材101接觸基材支撐件104之後的某一位置處,可在基材101上執行如上所述之預處理製程。基材支撐件104的舉升也提供RF裝置109a之接觸部分456與延伸構件458之間的接觸。因此,可在此實施例中藉由RF電流裝置109a及/或109b促進返回RF電流。
第6圖為腔室主體102的示意截面俯視圖,其沿著第5圖之線6-6切開,以示出RF裝置109a之定位的一實施例。示出具有基材支撐件104的腔室主體102且RF裝置109a置於腔室主體102之內表面與基材支撐件104之間的一空間中。接觸部分456適於接觸延伸構件458(以虛線示出四個)以對所施加之RF功率提供一RF回流路徑。RF裝置109a之間隔與集中方式經配置以提供 對稱的RF回流路徑而利於電漿均勻性並增強基材101(以虛線示出)上的沈積均勻性。
在一實施例中,RF裝置109a的間隔與集中方式考量腔室結構之變化(例如由傳送口412界定之通道)而適於對所施加之RF功率提供一對稱形態。當腔室主體並非實體上或電氣上對稱時,該間隔或集中方式允許所施加之RF功率在製程體積111中對稱地行進。在一態樣中,各個RF裝置109a及延伸構件458經調整為獨立的或模組單元而可在期望位置耦接至基材支撐件104,且若有需要可自當下位置移動或移除。模組化調整係允許RF回流路徑可依期望藉由添加、移除或重新定位RF裝置109a而被調節。在一實施例中,RF裝置109a圍繞基材支撐件104之周邊大體上平均地間隔開。在其他實施例中,若需要,可自基材支撐件104的不同地點添加或移除RF裝置109a。
第7A與7B圖各自為RF裝置109a繪示為可壓縮接觸構件415之一實施例的等角及側視圖。在此實施例中,可壓縮接觸構件415安裝至基底705上,該基底705可耦接至支架452(以虛線示出)。在另一實施例中,接觸構件415可整合為支架452的一部份。支架452轉而將耦接至基材支撐件104(未示出)。在一實施例中,基底705包括適於容納第一軸707的開口706。第一軸707 可動地穿置於開口706中以提供基底705與第一軸707之間的相對運動。第一軸707耦接至第二軸709,該第二軸709容納於彈簧形式件(spring form)710B的內側。軸環713耦接至第二軸709以提供彈簧形式件710B的一基底。在一實施例中,第一軸707可在第7B圖中標示為750的行進距離內移動至任何位置。行進距離750對應至基材支撐件104在多種製程期間將被調整的距離範圍,同時維持基材支撐件104與腔室主體102之間的電氣接觸或接地電位。
可壓縮接觸構件415包括至少一個彈性部分,在此等實施例中圖示為彈簧形式件710A與710B。彈簧形式件710A與710B提供可壓縮接觸構件415彈性,同時彈簧形式件710A額外提供電流一導電路徑。在一實施例中,彈簧形式件710B耦接至具有安裝部分714的一管狀構件712,該安裝部分可容納彈簧形式件710B及提供與基底705耦接之安裝介面。
可壓縮接觸構件415包括一接觸墊715,該接觸墊715耦接至該第一軸707的頭部部分716。彈簧形式件710A的第一端與該接觸墊715耦接並電氣連通,且在一實施例中,該彈簧形式件710A的第一端夾在頭部部分716與接觸墊715之間。可使用緊固件(例如螺釘或螺栓)以將 接觸墊715耦接至頭部部分716。彈簧形式件710A的第二端藉由接觸墊蓋717與基底705耦接並電氣連通,其中在一實施例中,該接觸墊蓋717將彈簧形式件710A夾至基底705。可使用緊固件(例如螺釘或螺栓)以將接觸墊蓋717耦接至基底705。
參看第7A與7B圖,彈簧形式件710A、710B可為由具有輸送或傳導電流之性質的導電或複合材料製成。在一實施例中,撓性材料可為薄片材料(例如薄片金屬或箔)、纜線或電線、及其組合、或其他導電彈性構件或導電材料。彈簧形式件710A、710B可在如上所述之電漿製程系統100及400中暴露至製程環境,並選擇可在製程環境中極限條件下存活及操作的撓性材料。在一實施例中,彈簧形式件710A、710B的撓性材料可為在製程情況期間可大體上保持撓性性質(例如,機械整體性及/或彈性)的金屬或金屬合金。在一態樣中,彈簧形式件710A、710B的第一或核心材料包括在撓性材料達到超過200℃(例如超過約250℃至約300℃)的溫度仍可大體上保持撓性性質的任何金屬或金屬合金。在一實施例中,保持在超過200℃或小於等於300℃之溫度下的第一或核心材料的撓性性質係大體上相似於在周圍溫度下之核心材料的撓性性質。
在一些實施例中,撓性材料可為板簧、盤簧、壓縮彈簧或其他撓性彈簧裝置或彈簧形式件。在一實施例中,彈簧形式件710A、710B包含一金屬材料或金屬合金,其可額外以一導電材料來鍍層、包覆或包層。金屬及金屬合金的實例包括鎳、不銹鋼、鈦、MONEL®材料、HASTELLOY®材料、HAYNES®合金(例如HAYNES®242®材料)、鈹銅、或其他導電彈性材料。用於鍍層、包覆或包層之材料的實例包括鋁、陽極氧化鋁、或其他塗層、薄膜或薄片材料。在一實施例中,彈簧形式件710A包含鎳或鈦合金薄片材料,其經包覆或覆蓋鋁材料。在另一實施例中,彈簧形式件710A包含Ni-Mo-Cr合金,例如HASTELLOY®材料或HAYNES®242®材料。Ni-Mo-Cr合金材料可以鋁或導電金屬鞘或塗層來鍍層、包覆或包層。在一實施例中,彈簧形式件710B包含MONEL ®400材料,同時彈簧形式件710A包含鋁箔包覆的HAYNES®242®材料。
基底705、墊715、蓋717、第一軸707及管狀構件712可由導電材料製成且可額外以導電材料來鍍層或包覆。導電材料的實例包括鋁、陽極氧化鋁、鎳、鈦、不繡鋼、其合金或其組合。在一實施例中,墊715、蓋717、第一軸707及管狀構件712可由陽極氧化鋁材料或諸如鎳、 鈦、不繡鋼、其合金或其組合的導電材料製成,且以一導電材料(例如鋁)來鍍層、包覆或包層。
第7C圖為第7B圖之彈簧形式件710A之一部分的截面放大圖。在一實施例中,彈簧形式件710A包括第一或核心材料770及第二材料或外層材料775。在一實施例中,核心材料770及外層材料775包含相同材料,例如可抗製程化學物質及製程環境的導電材料。例如,核心材料770及外層材料775可包含鋁。鋁材料提供可高度抗製程化學物質的導電外保護層。然而,鋁材料的物理或機械特質可能在高溫及/或重複壓縮及伸長中降級。在一實例中,鋁包括可隨著溫度升高而降低之諸如拉伸強度及彈性模數(Young’s modulus)的性質。此外,鋁的降服應力在高過約205℃的溫度會劇烈降低,且在更高溫度下會減弱更大的程度。例如,鋁在約200℃或更高的溫度下之的極限拉伸強度值(ultimate tensile strength value),較鋁在周圍溫度下的極限拉伸強度值小約40%至約60%。因此,當利用鋁作為彈簧形式件710A時,重複循環(壓縮及伸長)及/或升高溫度造成延展性的喪失且可導致彈簧形式件710A的損壞。
在另一實施例中,核心材料770不同於外層材料775且外層材料775由核心材料770 所支撐。在一實施例中,核心材料770包含可在高溫下保持物理及/或機械性質的材料,同時外層材料775的物理及/或機械性質在高溫時減弱。在一態樣中,核心材料770在高於約200℃溫度下保持的撓性及/或延展性質可大體上相似於核心材料770在周圍溫度下的物理及/或機械性質。例如,外層材料775可為鋁,同時核心材料770可為金屬合金。在一實施例中,當核心材料770達到約200℃或更高的溫度時,核心材料770可具有大體上相同於在周圍溫度下或室溫下(例如,約25℃)的性質。在一態樣中,核心材料770在室溫下具有約1250MPa至1290MPa的極限拉伸強度,及在約425℃溫度下具有約1050MPa至1100MPa的極限拉伸強度。因此,核心材料770的極限拉伸強度在介於室溫與約200℃之間的溫度大體上並未改變,且核心材料770因此在高溫下保持機械整體性。在一實施例中,核心材料770在約200℃大體上保持在周圍溫度下之物理及/或機械性質的85%。在另一實施例中,核心材料770在約200℃大體上保持在周圍溫度下之物理及/或機械性質的90%或更多,例如95%。
在高於約200℃的溫度下,核心材料770提供較鋁外層材料775良好的機械及/或物理性質。在一態樣中,隨著外層材料由於高溫及/ 或重複壓縮及減壓而在較核心材料短的時間週期內達到疲勞限度,核心材料770與外層材料775將產生差異。在一實施例中,核心材料770由Ni-Mo-Cr合金製成,例如HASTELLOY®材料或HAYNES®242®材料。Ni-Mo-Cr合金在高於200℃的溫度下具有極佳的延展性及降服應力保持性,特別是在高於205℃的溫度,例如,介於約210℃及約300℃。在此等高溫下,實心鋁彈簧形式件710A可能遭受延展性損失。然而,外層材料775(鋁)可為耦接至核心材料770之塗層或箔的形式,且外層材料775的弱化不會影響核心材料770的機械穩定性。因此,彈簧形式710A在高溫下可恢復彈性並保持彈簧形式710A的機械整體性。雖然Ni-Mo-Cr合金材料具有極佳的抗腐蝕性質特別是在含氟的環境中,外層材料775可在製程體積111中保護核心材料770免於電漿及/或氣體腐蝕。
第8A圖為自腔室主體102內部觀察複數個壓縮接觸構件415之耦合組態之一實施例的等角截面圖。基材支撐件104經圖示在一升高位置以使接觸墊715(在此圖中未示出)與自側壁117b之內表面125延伸的延伸構件458接觸。在此實施例中,可壓縮接觸構件415之各者耦接至個別的支架452。各支架452耦接至基材支撐件 104。為了調節鄰近傳送口412的RF回流路徑,可依期望添加或移除支架452。
第8B圖為第8A圖之部分腔室主體102的俯視圖。部分接觸墊715圖示於延伸構件458下方。應注意可壓縮接觸構件415可進出腔室主體102與基材支撐件104之間。因此,當基材支撐件104降低至低於傳送口412的位置時,為了人員維修、檢查、置換或移除的目的,可壓縮接觸構件415可自高於基材支撐件104的位置通過傳送口412而進出腔室主體102。在一實施例中,將基底705耦接至支架452的兩個緊固件780可被移除,以將基底705自支架452鬆開。因此,可輕易地藉由分別將兩個緊固件780移除或附著來移除或置換可壓縮接觸構件415。
第9A圖為耦接至支架452之可壓縮接觸構件900之另一實施例的等角視圖。在此實施例中,支架452經配置為耦接至基材支撐件104的桿件。在此實施例中,除了三個彈簧形式件910A-910C之外,可壓縮接觸構件900相似於第8A-8B圖中所示的可壓縮接觸構件415。彈簧形式件910A、910B可為具有輸送或傳導電流之性質的材料。在一實施例中,彈簧形式件910A-910C之各者可與第8A-8B圖中所示之彈簧形式件710A、710B為相同的材料。
在一實施例中,彈簧形式件910A、910B為連續單一薄片材料或具有兩端905A、905B的單一板簧。或者,彈簧形式件910A、910B可為兩個獨立的、不連續的薄片材料片段或在個別端耦接至接觸墊715的兩個板簧。在此實施例中,軸環713經圖示為耦接至設置在管狀構件712內的第二軸709。軸環可為導電材料製成,例如鋁或陽極氧化鋁。軸環713可包含用來安置螺栓的一螺帽或包括一螺紋部份,其適於固定該第二軸709。可減小第二軸709的尺寸(例如直徑)以允許彈簧形式件910C密合其上。
第9B圖為第9A圖中所示之可壓縮接觸構件900的分解等角視圖。在此實施例中,彈簧形式件910D為單一連續薄片材料或單一板簧。彈簧形式件910D可由參照彈簧形式件710A所述之相同材料製成。
第9C及9D圖為支架452之一實施例的等角視圖,其包括一或多個整合至支架452上之基底705。在此實施例中,支架452經配置為耦接至基材支撐件104的一延長桿件。支架452亦包括空基底915,若有需要,可使用該空心基底915以耦接額外的可壓縮接觸構件900,其可增強多個可壓縮構件的模組性。
第10A圖為可壓縮接觸構件1000另一實施例的示意圖。在此實施例中,自腔室主體102鄰近口412的內部圖示可壓縮接觸構件1000。由腔室主體102內部的透視圖,口412包括穿過側壁1002形成的一隧道1008,並由隧道1008的上部分1004與下部分1006定出邊界。可壓縮接觸構件1000包括耦接至接觸墊715與基底1005的彈簧形式件1010A、1010B。彈簧形式件910A、910B可由參照彈簧形式件810A、810B所述的相同材料製成。彈簧形式件1010A、1010B可由參照彈簧形式件710A所述的相同材料製成。
基底1005係耦接至支架452及/或基材支撐件104(為清楚起見,在此圖中未圖示此兩者)。在升高位置,接觸墊715適於接觸延伸構件458的接觸面1060,其中延伸構件458固定地耦接至腔室主體102的內側壁1002。由於可壓縮接觸構件1000耦接至基材支撐件且在此圖中圖示在升高位置,基材支撐件將遮蓋可壓縮構件1000及部分延伸構件458的視角。當基材支撐件為了基材傳送需要而降低時,可壓縮接觸構件1000將隨著基材支撐件104移動,使得可壓縮接觸構件1000沒有任何部分將干擾在口412的傳送操作。
第10B圖為可壓縮接觸構件1000另一實施例的示意圖。相似於第10A圖的視角,自腔室主體102的內部在口412處圖示可壓縮構件1000。可壓縮接觸構件1000包括耦接至接觸墊715與基底1005的彈簧形式件1010A、1010B。基底1005係耦接至支架及/或基材支撐件,由於基材支撐件的存在將遮蓋可壓縮接觸構件1000的視角,在此圖中未示出支架及基材支撐件。在此實施例中,彈簧形式件1010A、1010B係耦接至間隔器1018。彈簧形式件1010A、1010B可由參照彈簧形式件710A描述的相同材料製成。
第11A及11B圖為腔室主體102之部份的側面截面圖,其圖示第10A圖中耦接至基材支撐件104之可壓縮接觸構件1000的另一實施例。第11A圖在升高位置圖示可壓縮接觸構件1000與基材支撐件104及第11B圖在降低位置圖示可壓縮構件1000與基材支撐件104。如上所述,當基材支撐件104位於降低位置時,可壓縮接觸構件1000的任何部分都不會在干擾口412的位置。
第12A圖為可壓縮接觸構件1200之另一實施例的等角視圖。接觸構件1200包括單一彈簧形式件1210。單一彈簧形式件1210可為連續 平坦片段材料且可包含如參照彈簧形式件710A所述的相同材料。在此實施例中,彈簧形式件1210包含一或多個彎帶1215A-1215C,該等彎帶1215A-1215C適於提升彈簧形式件1210的壓縮力。在此實施例中,彎帶1215A-1215C之各者在彈簧形式件1210的相對側上之一大體鏡像處有一對應彎帶。在一實施例中,在12A圖中示出之彈簧形式件1210包含相似於omega符號(Ω)的形狀。已發現omega形的彈簧形式件1210可延長彈簧形式件1210的壽命。
第12B-12E圖為彈簧形式件1210多種實施例的側視圖,其可利用在第12A圖中所示的接觸構件1200上。彈簧形式件1210之各者可包含如參照彈簧形式件710A所述的相同材料。
第13A與13B圖為可壓縮接觸構件1300之另一實施例的截面圖。接觸構件1300包括彈簧形式件1310,其可包含如參照彈簧形式件710A所述的相同材料及任何在第12A-12E圖中所圖示之形狀。接觸構件1300包括一彈簧形式件910C且可由第7A與7B圖中所述之彈簧形式件710B的相同材料製成。接觸構件1300包括一結構,除了滾輪組件1305及內管狀構件1308之外,該結構相似於第9A與9B圖中圖示之接觸構件的 結構。如第13C圖所示,內管狀構件1308適於容納第二軸709。
滾輪組件1305包括藉由各個軸1325連接至豎架1320的一或多個滾輪或軸承1315。各軸承1315適於至少部分被設置在形成豎架於1320內的空腔1330中。當彈簧形式件1310被壓縮或伸長時,至少軸承1315的一部分適於接觸管狀構件712的內表面。豎架1320經配置為彈簧形式件910C的制動器且包括下豎架1335,該下豎架1335適於作為彈簧形式件910C之相對側的制動器。
第13C圖為第13A與13B圖中所示之接觸構件1300的分解等角視圖。為了清楚起見,彈簧形式件1310並未圖示於此圖中。在此實施例中,接觸墊715由鋁製成且藉由鋁製的緊固件1345耦接至彈簧減振座1340。在一實施例中,將第一軸707及豎架1320一體化成為一整合部分並由鋁或陶瓷材料製成。軸承1315可由鋁或陶瓷材料製成。彈簧形式件910C可由HASTELLOY®材料製成且容納於管狀構件712的內直徑中,該管狀構件712可由陶瓷或鋁材料製成。內管狀構件1308容納於彈簧形式件910C的內直徑與第二軸709的外直徑之間。內管狀構件1308可由陶瓷材料製成且適於減少粒子生成。例如,若第二軸709 與內管狀構件1308皆由陶瓷材料製成,由於陶瓷表面間的交互作用可減少粒子生成。再者,與鋁化合物相較,陶瓷材料的使用可減少磨損而延長壽命並減少粒子生成。
第14A與14B圖為耦接至基材支撐件104之可壓縮接觸構件1400之另一實施例的等角視圖。第14C圖為第14A與14B圖中所示之接觸構件1400的側視圖。接觸構件1400包括一彈簧形式件1410,該彈簧形式件1410經配置以提供接觸構件1400撓性,而不需使用如第7A與13A圖中所示之壓縮彈簧。彈簧形式件1410可包含參照彈簧形式件710A所述的相同材料製成。
接觸構件1400包括支架1415,該支架1415適於懸掛及/或固定至基材支撐件104的一側1420及/或底部1425。接觸構件1400包括第二軸709,該第二軸709至少部分容納於形成在支架1415中的開口1428內。第二軸709及支架1415的配置防止彈簧形式件1410完全延伸或伸長且亦可預載彈簧形式件1410。接觸構件1400包括適於耦接至彈簧形式件1410的夾鉗件1430A、1430B。接觸構件1400也包括一或多個軸襯1435,該一或多個軸襯1435可作為第二軸709的導件。支架1315及夾鉗件1430A、1430B 可為鋁製成,同時第二軸709與軸襯1435可由陶瓷材料製成。
第14D圖為第14A與14B圖所示之接觸構件1400之彈簧形式件1410於壓縮位置的側視截面圖。第14E與14F為第14A與14B圖中所示之接觸構件1400的等角視圖,其圖示彈簧形式件1410的安裝或移除。在一實施例中,彈簧形式件1410經按壓且第二軸709自支架1415移除。諸如螺栓或插銷的緊固件1440,其可適於作為一鎖緊螺母且為了將彈簧形式件1410固持在第二軸709上而插入第二軸的上部分中。
第14G圖為接觸構件1400的側視截面圖,其如第14A與14B圖所述在升高位置耦接至基材支撐件104。接觸墊715圖示為接觸延伸構件458,該接觸構件458於傳送口412上方設置在側壁117b的內表面上。當基材支撐件降低時,接觸構件1400隨著基材支撐件移動且傳送口412的內部區凈空以用於基材傳送。
第15圖為電漿製程系統1500之另一實施例的示意截面圖。除了耦接至腔室主體102的內側壁1002的複數個可壓縮構件1505之外,製程系統1500大體上相似於第1及4圖中所述之製程系統100及400。可壓縮接觸構件1505可經配置而相似於上述多個可壓縮接觸構件415、900、 1200、1300或1400。在此實施例中,可壓縮接觸構件1505之各者包括接觸部分1556及彈性部分1554,其大體上相似於上述實施例之彈性部分454及接觸部分456。可壓縮接觸構件1505耦接至設置於腔室主體102內部之延伸構件458。在使用遮蔽框架460的多個實施例中,可將遮蔽框架460置於延伸構件458上。可在遮蔽框架460的邊緣提供孔洞或槽以對可壓縮接觸構件1505的任何可移動部分留出間隙。
在此實施例中,當基材支撐件104在升高位置時,接觸部分1556適於接觸設置於基材支撐件104上的支架1552。在一態樣中,腔室主體102的溫度可較基材支撐件104的溫度低。因此,相較於可壓縮接觸構件在耦接至基材支撐件104時將經受的溫度,可壓縮接觸構件1505至腔室主體102的耦接係使可壓縮接觸構件1505暴露於低溫。可壓縮接觸構件1505的低溫可延長可壓縮接觸構件1505的壽命。
藉由在基材支撐件104的多種位置高度允許RF回流,本文描述之RF裝置109a及109b的實施例對習知接地/回流提供一種優良的替代方案。一般而言,習知PECVD基材支撐件僅由連接至腔室底板的接地條帶來接地。使用條帶來接地的方法非常耗時,而對返回RF電流造成很大的電 阻,因此在腔室之側壁與基材支撐件之間產生高電位。高電位將在腔室之側壁與基材支撐件之間引發電弧。再者,鄰近具有一傳送口之腔室側壁的接地條帶在基材傳送製程期間可能造成妨礙。傳送口412(位於腔室之一側壁中)的存在在RF回流路徑產生極大的不對稱。如本文所述的RF裝置109a與可壓縮接觸構件之實施例使待接地至腔室的基座位於狹縫閥開口上方,其可縮短接地路徑並適於促進腔室各側之相似及對稱接地路徑。本文描述之RF裝置109a、109b及可壓縮接觸構件的多個實施例亦允許基材支撐件在高度上的調整同時維持接地電位,而可允許基材支撐件於沈積、後-或預-沈積、及清潔製程期間在間隔距離的較大範圍內被接地。
本文所述之可壓縮接觸構件的多個實施例允許待被接地至腔室的基材支撐件位在狹縫閥開口上方。本文所述之可壓縮接觸構件的多個實施例產生獨立的接地接觸單元,其安裝至基材支撐件及/或腔室側壁上。在一實施例中,當基材支撐件向上移動時,可壓縮接觸構件在狹縫閥開口上方銜接至腔室的固定接地表面上。可壓縮接觸構件單元含有一相容部件,其允許基材支撐件在製程間隔距離的一範圍內維持接地接觸。當降低基材支撐件時,接地接觸單元自接地接觸墊鬆開。本文所述之 可壓縮接觸構件的多個實施例允許在狹縫閥開口上方的基座(待接地至腔室主體)消除狹縫閥開口對RF回流路徑的影響。RF裝置109a的實施例允許RF裝置109b變得更短。再者,由於各接地接觸單元獨立地安裝至基材支撐件並具有一相容部件,此等接地接觸單元不須取決於表面是否平坦即可達成良好的電接觸。
雖然前述是針對本發明實施例,但可在不背離本發明之基本範圍及由以下申請專利範圍所決定之範圍的情況下,發展出其他及進一步的實施例。
101‧‧‧基材
102‧‧‧腔室主體
103‧‧‧噴頭組件
104‧‧‧基材支撐件
105‧‧‧功率源
106a‧‧‧第一輸出
106b‧‧‧第二輸出
107‧‧‧遠端電漿源
109a‧‧‧第一RF裝置
109b‧‧‧第二RF裝置
110a-110d‧‧‧舉升銷
111‧‧‧製程體積
114‧‧‧氣體分配板
116‧‧‧背板
117a‧‧‧底部
117b‧‧‧側壁
118‧‧‧排放系統
121‧‧‧匹配電路
122‧‧‧製程氣體源
123a‧‧‧第一引線
123b‧‧‧第二引線
125‧‧‧內表面
131‧‧‧氣體體積
134‧‧‧導管
135‧‧‧絕緣體
138‧‧‧致動器
400‧‧‧製程系統
405‧‧‧側壁區域
410‧‧‧閥門
412‧‧‧傳送口
415‧‧‧接觸構件
452‧‧‧支架
454‧‧‧彈性部分
456‧‧‧接觸部分
458‧‧‧延伸構件
460‧‧‧遮蔽框架

Claims (17)

  1. 一種用於一電漿製程腔室的射頻回流裝置,包含:一基底;一第一彈簧形式件以及一第二彈簧形式件,該第一彈簧形式件耦接至該基底,該第二彈簧形式件耦接至該基底的一相對側,該第一彈簧形式件以及該第二彈簧形式件之兩者皆包含由一金屬或金屬合金製成的一第一材料,該第一材料具有在室溫下與在約200℃或更高的一製程溫度下大體上相同的一撓性性質;一第二材料,大體上圍繞該第一材料,該第二材料不同於該第一材料;以及一接觸墊,耦接至該第一彈簧形式件的端以及該第二彈簧形式件的端。
  2. 如請求項1所述之裝置,其中該第二材料具導電性,且該第一材料係以該第二材料來鍍層、包覆或包層。
  3. 如請求項2所述之裝置,其中該第二材料包括在室溫下的一第一撓性性質與在製程溫度下的一第二撓性性質,該第一撓性性質與該第二撓性性質大體上不相同。
  4. 如申請專利範圍第2項之裝置,其中該鍍層、包覆或包層包含一鋁材料。
  5. 如申請專利範圍第4項之裝置,其中該鋁材料包含一箔材料。
  6. 如申請專利範圍第1項之裝置,其中該撓性性質為極限拉伸強度。
  7. 如申請專利範圍第1項之裝置,其中該第一材料包含一鎳-鉬-鉻合金。
  8. 如申請專利範圍第7項之裝置,其中該第二材料包含一鋁材料。
  9. 如申請專利範圍第1項之裝置,其中該軸耦接至設置於該基底中的一壓縮彈簧。
  10. 一種電漿製程系統,包含:一腔室;以及一第一電極,設置於該腔室中,該第一電極利於在該腔室內生成一電漿且可在該腔室內相對於一第二電極移動,該第一電極被維持電氣耦接同時藉由複數個撓性接觸構件相對於該第二電極移動,至少一部分的該複數個撓性接觸構件包含:一基底,耦接至該第一電極;一第一彈簧形式件以及一第二彈簧形式件,該第一彈簧形式件以及該第二彈簧形式件包含一金屬或金屬合金,當與在室溫下的一撓性性質相較,該材料在達到高於200℃的溫度時,該第一彈簧形式件以及該第二彈簧形式件 係大體上維持撓性性質而不塑性變形,該第一彈簧形式件耦接至該基底的一個側,以及該第二彈簧形式件耦接至與該第一側相對的該基底的一第二側。
  11. 如申請專利範圍第10項之系統,其中該材料係以一導電材料來鍍層、包覆或包層。
  12. 如申請專利範圍第10項之系統,其中該至少一個撓性接觸構件更包含:一基底,耦接至該至少一個電極;以及一軸,可動地設置於該基底中,其中該至少一個撓性接觸構件在一第一端耦接至該基底以及在一第二端耦接至該軸。
  13. 如申請專利範圍第12項之系統,其中該基底包含一或多個軸承,該一或多個軸承位在該軸與形成於在該基底中的一開口之間。
  14. 如申請專利範圍第12項之系統,其中該軸耦接至設置於該基底中的一壓縮彈簧。
  15. 如申請專利範圍第10項之系統,其中該至少一個撓性接觸構件更包含:一彈簧,包含由一金屬或金屬合金製成的一第一材料,該第一材料具有在室溫下與在200℃或更高的製程溫度下大體上相同的一撓性性質。
  16. 如申請專利範圍第15項之系統,其中該第一材料係以一第二材料來鍍層、包覆或包 層,該第二材料不同於該第一材料。
  17. 如申請專利範圍第16項之系統,其中該第二材料包括在室溫下的一第一撓性性質與在該製程溫度下的一第二撓性性質,該第一撓性性質與該第二撓性性質大體上不相同。
TW105104646A 2009-02-04 2010-02-04 用於電漿製程的接地回流路徑 TWI558843B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14999009P 2009-02-04 2009-02-04
US16768109P 2009-04-08 2009-04-08
US26457609P 2009-11-25 2009-11-25
US29354910P 2010-01-08 2010-01-08

Publications (2)

Publication Number Publication Date
TW201634742A true TW201634742A (zh) 2016-10-01
TWI558843B TWI558843B (zh) 2016-11-21

Family

ID=42397954

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099103387A TWI527930B (zh) 2009-02-04 2010-02-04 用於電漿製程的接地回流路徑
TW105104646A TWI558843B (zh) 2009-02-04 2010-02-04 用於電漿製程的接地回流路徑

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW099103387A TWI527930B (zh) 2009-02-04 2010-02-04 用於電漿製程的接地回流路徑

Country Status (6)

Country Link
US (2) US9382621B2 (zh)
JP (2) JP5883652B2 (zh)
KR (1) KR101593460B1 (zh)
CN (1) CN102308675B (zh)
TW (2) TWI527930B (zh)
WO (1) WO2010091205A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820066B (zh) * 2018-01-05 2023-11-01 南韓商三星顯示器有限公司 製造顯示設備之設備及方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
CN102308675B (zh) * 2009-02-04 2016-01-13 应用材料公司 用于等离子体工艺的接地回流路径
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
JP6351262B2 (ja) * 2011-02-09 2018-07-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf pvdチャンバ用の均一性調整可能esc接地キット
CN102747339A (zh) * 2011-04-22 2012-10-24 英属开曼群岛商精曜有限公司 等离子体辅助式化学气相沉积装置
US20120267049A1 (en) * 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
JP6068491B2 (ja) 2011-11-08 2017-01-25 インテヴァック インコーポレイテッド 基板処理システムおよび基板処理方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
SG11201402058TA (en) * 2011-11-24 2014-09-26 Lam Res Corp Symmetric rf return path liner
US20130160948A1 (en) * 2011-12-23 2013-06-27 Lam Research Corporation Plasma Processing Devices With Corrosion Resistant Components
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
US9849202B2 (en) * 2012-09-14 2017-12-26 The Board Of Regents For Oklahoma State University Plasma pouch
WO2014062323A1 (en) * 2012-10-18 2014-04-24 Applied Materials, Inc. Shadow frame support
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
KR20150022163A (ko) * 2013-08-22 2015-03-04 삼성디스플레이 주식회사 플라즈마 처리 장치용 스트랩 및 이를 포함하는 플라즈마 처리 장치
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6009513B2 (ja) * 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20160348233A1 (en) * 2015-05-29 2016-12-01 Applied Materials, Inc. Grounding of conductive mask for deposition processes
EP3465727B1 (en) * 2016-06-03 2021-10-06 Evatec AG Plasma etch chamber and method of plasma etching
KR102242988B1 (ko) * 2016-06-22 2021-04-20 가부시키가이샤 아루박 플라즈마 처리장치
WO2018062710A1 (ko) * 2016-09-28 2018-04-05 주식회사 미코 접지 클램핑 유닛 및 이를 포함하는 기판 지지 어셈블리
KR102330098B1 (ko) * 2017-04-24 2021-11-23 주성엔지니어링(주) 기판 처리 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102399343B1 (ko) * 2017-05-29 2022-05-19 삼성디스플레이 주식회사 화학기상 증착장치
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
CN109423630A (zh) * 2017-09-04 2019-03-05 台湾积体电路制造股份有限公司 升举装置、化学气相沉积装置及方法
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
JP7044581B2 (ja) * 2018-02-20 2022-03-30 株式会社アルバック 耐食性膜及び真空部品
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US10748783B2 (en) * 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020039849A1 (ja) * 2018-08-22 2020-02-27 東京エレクトロン株式会社 基板処理方法および基板処理装置
KR102509641B1 (ko) * 2018-08-28 2023-03-16 삼성전자주식회사 플라즈마 챔버의 rf 센싱 장치 및 이를 포함하는 플라즈마 챔버
CN109338335B (zh) * 2018-10-16 2020-09-08 深圳市华星光电技术有限公司 一种用于化学气相沉淀的暗影框结构
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020117371A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Ground electrode formed in an electrostatic chuck for a plasma processing chamber
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
JP7245107B2 (ja) * 2019-04-23 2023-03-23 株式会社アルバック プラズマ処理装置
JP7264710B2 (ja) * 2019-04-23 2023-04-25 株式会社アルバック プラズマ処理装置
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
KR20220065840A (ko) * 2019-09-26 2022-05-20 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 방법들 및 지지 브래킷 장치
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11443921B2 (en) * 2020-06-11 2022-09-13 Applied Materials, Inc. Radio frequency ground system and method
JP7492900B2 (ja) 2020-10-29 2024-05-30 株式会社アルバック プラズマ処理装置
KR20230164147A (ko) * 2021-04-01 2023-12-01 어플라이드 머티어리얼스, 인코포레이티드 플라즈마를 이용한 박막 형성을 위한 접지 리턴
WO2022245087A1 (ko) * 2021-05-18 2022-11-24 주식회사 에스엠티 스트랩 및 그 제조 방법
WO2023027707A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Process gas containment using elastic objects mated with reactor interfaces
US20230243035A1 (en) * 2022-01-28 2023-08-03 Applied Materials, Inc. Ground return for thin film formation using plasma
TW202347404A (zh) * 2022-02-01 2023-12-01 美商蘭姆研究公司 Rf功率路徑對稱
TWI832336B (zh) * 2022-07-18 2024-02-11 友威科技股份有限公司 具高導電電極的連續電漿製程系統

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389135A (en) * 1981-06-30 1983-06-21 Jack Peters Releasable locking device
SU1001250A1 (ru) * 1981-10-05 1983-02-28 Государственный проектно-конструкторский и научно-исследовательский институт по автоматизации угольной промышленности "Гипроуглеавтоматизация" Контактный узел
JPH0762594B2 (ja) * 1989-08-11 1995-07-05 日本碍子株式会社 ファイバー炉
JPH03138372A (ja) * 1989-10-20 1991-06-12 Fujitsu Ltd プラズマcvd装置
JPH03182070A (ja) * 1989-12-08 1991-08-08 Mitsubishi Electric Corp コネクタ
JPH0776421B2 (ja) * 1990-02-15 1995-08-16 株式会社日立製作所 薄膜形成装置
US4998178A (en) 1990-06-18 1991-03-05 Minnesota Mining And Manufacturing Company Adjustable, conductive body strap
CN2157857Y (zh) * 1993-05-12 1994-03-02 祝继彬 鹰爪旋具
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JPH08186101A (ja) * 1995-01-06 1996-07-16 Dainippon Screen Mfg Co Ltd プラズマ処理装置
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH1079350A (ja) * 1996-09-04 1998-03-24 Kokusai Electric Co Ltd プラズマ処理装置
KR100497879B1 (ko) * 1997-01-23 2005-09-08 동경 엘렉트론 주식회사 플라즈마처리장치
JP2957513B2 (ja) * 1997-04-07 1999-10-04 鹿児島日本電気株式会社 インライン式成膜装置
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
CN2320729Y (zh) * 1998-04-09 1999-05-26 天津市制锁三厂 通道锁
US6162332A (en) 1998-05-07 2000-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for preventing arcing in sputter chamber
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6349670B1 (en) * 1998-11-30 2002-02-26 Alps Electric Co., Ltd. Plasma treatment equipment
US6558504B1 (en) 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
KR100596822B1 (ko) * 1999-03-30 2006-07-03 동경 엘렉트론 주식회사 플라즈마 처리 장치, 그 보수 방법 및 그 시공 방법
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
AT414033B (de) * 2001-01-09 2006-08-15 Blum Gmbh Julius Dämpfer, insbesondere für möbel
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
JP2003077848A (ja) * 2001-09-06 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置
US7100532B2 (en) 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
KR100461517B1 (ko) * 2002-03-14 2004-12-14 시그마텔레콤 주식회사 기지국/중계기의 원격 감시 시스템 및 방법
US7060545B1 (en) 2002-10-31 2006-06-13 Micrel, Inc. Method of making truncated power enhanced drift lateral DMOS device with ground strap
US6933442B2 (en) 2003-02-12 2005-08-23 Senior Industries, Inc. Methods and apparatus to secure a ground strap assembly to an electrically conductive member
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7375946B2 (en) 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7534301B2 (en) 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
TWI424524B (zh) * 2006-10-04 2014-01-21 Applied Materials Inc 電漿腔室中用於基板夾持之設備與方法
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
JP5324859B2 (ja) * 2008-08-08 2013-10-23 三菱重工業株式会社 真空処理装置およびそれを用いた製膜方法
JP2010138740A (ja) * 2008-12-10 2010-06-24 Ntn Corp ラッシュアジャスタ
JP2010161316A (ja) * 2009-01-09 2010-07-22 Ulvac Japan Ltd プラズマ処理装置
CN102308675B (zh) * 2009-02-04 2016-01-13 应用材料公司 用于等离子体工艺的接地回流路径

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820066B (zh) * 2018-01-05 2023-11-01 南韓商三星顯示器有限公司 製造顯示設備之設備及方法

Also Published As

Publication number Publication date
TWI558843B (zh) 2016-11-21
US9382621B2 (en) 2016-07-05
JP6238253B2 (ja) 2017-11-29
KR20110123762A (ko) 2011-11-15
WO2010091205A3 (en) 2010-11-25
TWI527930B (zh) 2016-04-01
JP2016136522A (ja) 2016-07-28
US20160305025A1 (en) 2016-10-20
JP2012517076A (ja) 2012-07-26
KR101593460B1 (ko) 2016-02-12
CN102308675B (zh) 2016-01-13
JP5883652B2 (ja) 2016-03-15
CN102308675A (zh) 2012-01-04
US20100196626A1 (en) 2010-08-05
TW201033402A (en) 2010-09-16
WO2010091205A2 (en) 2010-08-12

Similar Documents

Publication Publication Date Title
TWI558843B (zh) 用於電漿製程的接地回流路徑
KR101641130B1 (ko) 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
TWI584409B (zh) 用於薄基板之可攜式靜電夾盤載體
US7534301B2 (en) RF grounding of cathode in process chamber
US20160032451A1 (en) Remote plasma clean source feed between backing plate and diffuser
KR20040031599A (ko) 발열체 cvd장치 및, 발열체 cvd장치에 있어서의발열체와 전력공급 기구와의 사이의 접속 구조
KR20160127368A (ko) OLED 및 TFT-LCD Panel 제조용 접지 스트랩
JP5394403B2 (ja) プラズマ処理装置
KR101205242B1 (ko) 플라즈마 처리 장치
KR20060103341A (ko) 플라즈마 처리 장치
JP2008235393A (ja) 成膜装置及び成膜方法
US20200294773A1 (en) Plasma processing method and plasma processing apparatus
KR20230141031A (ko) 공정가스 활성화를 위한 발열부 및 이를 포함하는 기판처리장치
JP2010123628A (ja) 真空処理装置