JP2012501388A - タングステンの粗度減少及び反射率改善の方法 - Google Patents

タングステンの粗度減少及び反射率改善の方法 Download PDF

Info

Publication number
JP2012501388A
JP2012501388A JP2011525228A JP2011525228A JP2012501388A JP 2012501388 A JP2012501388 A JP 2012501388A JP 2011525228 A JP2011525228 A JP 2011525228A JP 2011525228 A JP2011525228 A JP 2011525228A JP 2012501388 A JP2012501388 A JP 2012501388A
Authority
JP
Japan
Prior art keywords
tungsten
nitrogen
deposited
cvd
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011525228A
Other languages
English (en)
Other versions
JP5683022B2 (ja
JP2012501388A5 (ja
Inventor
チェン、フェング
フマユン、ラアシナ
マノハー、アブヒシェク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2012501388A publication Critical patent/JP2012501388A/ja
Publication of JP2012501388A5 publication Critical patent/JP2012501388A5/ja
Application granted granted Critical
Publication of JP5683022B2 publication Critical patent/JP5683022B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

低粗度と高反射率を有する低抵抗率のタングステンバルク層の製法を提供する。平滑で高度に反射性のタングステン層は従来例の低抵抗率のタングステン層よりフォトパタニングが容易である。本発明の方法では交互の窒素ガスパルスの存在下でタングステンのCVD堆積が実施され、フィルムの交互の部分がCVDにより窒素の存在なしと存在下で堆積される。種々の実施形態において、フィルムの全体の厚さの20−90%の範囲が窒素存在下のCVDで堆積される。
【選択図】図2

Description

本発明はタングステンのフィルムを準備する方法に関する。本発明の実施形態は低電気抵抗、低粗度、高反射率を有するタングステン薄フィルムを必要とする集積回路への応用に有用である。
[関連出願との関係]
本発明は2008年8月29日に提出された米国特許出願12/202126号を基に優先権を主張するものであり、その全体をすべての目的において此処に合同させるものとする。
化学蒸着(CVD)技術使用によるタングステンフィルムの成膜は多くの半導体製造工程における重要な部分である。タングステンフィルムは水平方向相互接続子、隣接金属層間の通路、及び第一金属層とシリコンサブストレイト間の接点装置の形態で低抵抗電気的連結に使用されることがある。従来のタングステン堆積工程に於いて、ウエファは真空チェンバ内で加工温度に加熱され、次いで種子即ち核形成層として機能するタングステンの極めて薄い部分が堆積される。その後、タングステンフィルムの残余部(バルク層)が核形成層の上に堆積される。従来例に於いて、タングステンバルク層は成長中のタングステン層の上で六フッ化タングステン(WF)の水素(H)による還元によって形成される。
本発明は低粗度及び高反射率を有する低抵抗タングステンバルク層の製法を提供するものである。平滑で高反射率のタングステン層は従来例の低抵抗タングステンフィルムより写真パタン形成が容易である。その方法は交互の窒素ガスパルス存在下でのタングステンのCVD成膜を含み、窒素の欠如と存在の条件下においてフィルムの交互の部分がCVDによって成膜される。種々の実施形態において、フィルムの全体の厚さの20−90%が窒素存在下のCVDによって成膜される。
以下の詳細な記述は以下の図面と共に考察されることによってより完全に理解されることであろう。
種々の実施形態による方法の工程を示すフロー図である。 タングステン核形成層堆積の関連工程を示すフロー図である。 複数階及び単一階のタングステンバルク層を比較する概略図である。 発明の種々の実施形態におけるタイミングシークエンスの一例を示す。 タングステンバルク層の反射率と抵抗率とを窒素存在下に堆積されたフィルムの百分比の関数として示すグラフである。 窒素の存在下及び窒素のない工程でCVDにより堆積された1000 Aタングステンフィルムの抵抗率の温度依存を示すグラフである。 窒素の存在下及び窒素のない工程でCVDにより堆積された1000 Aタングステンフィルムの反射率の温度依存を示すグラフである。 本発明の実施形態によるタングステン堆積工程の実施に好適なシステムのブロック図である。
[概論]
以下の記述に於いてはタングステンフィルム形成に関する本発明の完全理解のために多くの実施形態が開示される。ここに示され論じられる特定の方法や装置の変更、実施、変化などは本発明の範囲の中に於いて当業者には明白であろう。
此処に記載される方法はタングステンフィルムの形成に関する。タングステンフィルム形成の従来の方法は、表面にタングステン核形成層を形成し、次いでCVD工程を実施することである。本発明の実施形態は、低抵抗率、低粗度、及び高反射率のタングステン層を堆積することから成る。以前は工程において低抵抗率のタングステンフィルムが大粒のタングステンを成長することで実施されていたが、これによるとフィルムの粗度が増加する。その結果、フィルムの厚さに対する二乗平均平方根(RMS)粗度は500 A以上の低抵抗タングステンフィルムの場合10%を越すこともある。フィルムの粗度が低下されると、パタニングなどのその後の工程が容易になる。
此処に記述される方法は高度に反射性なフィルムを提供するものである。バルクタングステン層を堆積する従来の方法は化学蒸着(CVD)工程がタングステンを含む前駆体を水素で還元することから成るものである。従来の水素還元CVD工程で成長された1000Aのフィルムの反射率はシリコン表面に比較して110%かそれ以下であるが、或る応用例においてはより大きな反射率のタングステンフィルムが要求されている。例えば低反射率で高粗度のタングステンフィルムであると、例えばビット線又はその他の構成物の形成のようなフォトパタニングタングステンの製作が困難となる。
此処に記述される方法はタングステンをH2CVD還元によって交互の窒素ガスパルスの存在下で堆積することに関する。窒素ガスの存在下でのタングステン堆積によってタングステンの粗度が低下されることは知られて居るが、本発明者は窒素存在下のCVD堆積と窒素の存在しないCVD堆積を交互に実施することによって反射率と粗度の改良されたフィルムが製造されることを発見した。図1は本発明の或る実施形態による工程を示す。この工程はサブストレイトの上にタングステン核形成層を堆積することで始まる。通常核形成層は薄い共形層であって、その後のバルク物質の形成を容易にする働きがある。或る実施形態における核形成層はパルス式核形成層(PNL)技術を使用して堆積される。PNL技術では、還元剤、パージガス、及びタングステンを含む前駆体のパルスが逐次的に反応チャンバに入射され、そこからパージされる。この工程は所望の厚さが得られるまでサイクル的に繰り返される。PNLは半導体サブストレイトの上に反応用の反応物質を逐次的に追加する周期的工程を広義的に具体化するものである。
特徴的大きさが小さくなるに従い、タングステンのコンタクト又は線的抵抗はタングステン薄フィルムの散乱効果により増加するものである。効果的なタングステン堆積工程にはタングステン核形成層が必要であるが、これらの層は典型的にバルクタングステン層より電気抵抗率が高い。低抵抗率のタングステンフィルムは集積回路のデザインにおいて電力消費及び過熱を最小化する。rnucleation>rbulkであるので、全体の抵抗値を可及的に低くするため、核形成層の厚さは最小化されるべきものである。その反面、タングステン核形成は高質のバルク堆積を支持するために、下地のサブストレイトは十分に覆う厚さでなくてはならない。
抵抗率が低く、低抵抗率のタングステンバルク層の堆積を支持するタングステン核形成層を堆積するPNL技術は米国特許出願12/030645号,11/951236号及び61/061078号に開示されて居り、これらは本願に参照して合同されるものとする。PNL式工程に関する追加的議論は米国特許6635965号,6844258号,7005372号及び7141494号並びに米国特許出願11/265531号に記載されてあり、これらも本願に参照して合同されるものとする。
図2は或る実施形態に従いPNLによって低抵抗率タングステン核形成層の堆積に使用可能な工程の概略を示すフロー図である。図2に示される工程は低温でパルス式核形成層工程を使用するタングステン核形成層の形成及びその後バルク充填タングステンの堆積の前の堆積された核形成層の処理に関する。或る実施形態において、サブストレイトは高横縦比及び/叉は狭い幅の特徴を有する。別の実施形態の方法は、低抵抗率のタングステンフィルムを平面的な表面及び低横縦比の特徴及び広幅の特徴の表面の上に堆積するのに使用される。
ブロック203に示される如く、低温パルス式核形成層(PNL)工程はタングステン核形成層の堆積に使用される。PNL工程を使用するタングステン核形成層の堆積にはサブストレイトを還元剤と例えばWFのようなタングステンを含む前駆体の交互パルスに露出することが含まれる。同形的の核形成層の堆積用の低温タングステン核形成層工程は2005年11月1日提出の米国特許出願11/265531号に記載されて居り、これは総ての目的に対して全体が参照して合同されるものとする。図2に示される実施形態において、サブストレイトは低温であり、約350C以下、例えば約250と350Cの間、或は250と325Cの間である。或る実施形態の場合、この温度は300C前後である。上記の出願11/265531号には低抵抗率のフィルムの堆積に使用可能な還元剤とタングステンを含む前駆体のパルスのシークエンスが記載されている。種々の実施形態において、核形成層を堆積するのにホウ素を含む還元剤(例えばジボラン)やホウ素を含まない還元剤(例えばシラン)などが使用される。又或る実施形態においては、核形成層の堆積に、低温サイクルの後に一回以上の高温(例えば395°C)PNLサイクルが含まれる。或る実施形態では、核形成層の堆積に、2008年2月13日に提出された米国特許出願12/030645号に記載された非常に小さい高横縦比のタングステン核形成層の堆積法が使用され、この文献はその全体をすべての目的において参照して合同するものとする。これらの方法はホウ素を含む還元剤とタングステンを含む前駆体のPNLサイクルを水素の背景なしで使用し、段差を好適にカバーする特色をもって非常に薄い核形成層(例えば約12オングストロム)を堆積する。或る実施形態ではこれらの方法の後、ジボラン(又は他のボラン又はホウ素を含む還元剤)が核形成層の堆積の期間中使用される唯一の還元剤である。
再び図2を参照し、任意的工程205には抵抗率を低下させるためのより高温の処理工程が含まれる。この処理工程の例には米国特許出願11/951236号及び61/061078号に記載されたものが含まれ、これらの文献はその全体がすべての目的において参照して合同されるものとする。これらの記載された処理工程には堆積された核形成層を還元剤の複数パルスへ露出すること(間に他の反応性化合物のパルスを介在させることなく)が含まれる。種々の実施形態において、上に核形成層が堆積されたサブストレイトは還元剤パルスの、或る実施形態では還元剤とタングステンを含む前駆体のパルスの、複数サイクルに露出される。還元剤とタングステンを含む前駆体の交互のパルスはタングステン核形成にも使用される。
図2に見られるごとく、処理工程は核形成層の堆積より高温で実施される。温度は375Cから415Cの範囲、例えば395Cである。核形成層の堆積からこの処理工程へとの遷移にはサブストレイトを約350Cと415Cの間、又は或る実施形態では約375Cと415Cの間に熱してから核形成層を工程で複数の還元剤又は還元剤/タングステン含有前駆体パルスに露出するまで安定化させることが含まれる。或る実施形態において示されるごとく、サブストレイトの温度は約395Cである。温度がより低いと、同様な処理効果を得るパルス時間が長くなる。処理工程では典型的に実質上タングステンは堆積されない。工程205に記載される処理工程は接着の向上に使用可能であるが、本発明の方法はこれなしで実施されてもよい。図2に戻り、一度タングステン核形成層が処理されるや、バルクタングステン層が工程207で堆積される。これは下記のように図1の工程103−109に記載されている。
図2は或る実施形態における低抵抗率のタングステン核形成層の堆積法の工程を示すものであるが、此処に記載されるものはタングステン核形成層堆積の特種な方法に限定されるものではなく、PNL,原子層堆積(ALD)、CVD及びその他の方法などでのタングステン核形成層の上へのバルクタングステンフィルムの堆積を含むものである。
図1に戻り、タングステン核形成層が堆積され、他の所望の処理が実施された後、バルク層が堆積される。堆積はCVD工程で開始され、ここでタングステンを含む前駆体が水素で還元されてタングステンが堆積される。六フッ化タングステン(WF6)が通常使用されるが、この工程はWCl6を含みそれに限定されない他のタングステン前駆体で実施されてもよい。更に、水素が通常バルクタングステン層のCVD堆積に還元剤として使用されるが、シランを含む他の還元剤が本発明の範囲を出ることなく、水素の追加或いは代わりとして使用可能である。他の実施形態においては、W(CO)6が還元剤の有無に拘わらず使用可能である。窒素(N2)へ露出することで得られる利点は、NH3を含むその他の窒素含有のキャリヤガスへ露出することによっても得られる。NH3或いはその他の窒素含有の前駆体を使用すると、同様な利点を有する窒化タングステンを堆積するように上記の工程を変更することが出来る。
CVL技術では、上記のPNL工程と異なり、WFとHとが同時に反応チャンバ内に導入される。これにより反応性ガス混合物の連続的化学反応が起こり、タングステンフィルムが連続的にサブストレイトの表面に生成される。
CVD堆積は工程103で開始され、ここでタングステンを含む前駆体と水素は窒素の存在しない状態で反応チャンバ内へ導入される。或る実施形態でアルゴン或はその他のガスがキャリヤガスとして使用される。これらのガスは予め混合されてあってもなくてもよく、反応させられて所望の全体のタングステンの厚さの一部を堆積する。下記の如く、この工程で堆積されるタングステンの量は部分的には所望の全体の厚さに依存する。例えば或る実施形態においてはこの工程で約100 Aが堆積される。次に工程105において、タングステン層の別の部分が窒素の存在下、WF6或はその他のタングステン前駆体のH2による還元で堆積される。通常工程103(H2−WF6還元/N2なし)から工程105(H2−WF6還元/N2あり)への遷移はN2、H2及びWF6がすべてチャンバ内へ流入するようにチャンバへのN2の流入をオンすることによる。追加的に導入されるガス(N2)の分を補償して流量のバランスを保つために、アルゴンその他のガス流を減少或は停止してもよい。この工程によってタングステン層の別部分が堆積される。或る実施形態の場合、所望の量のタングステンが堆積されると、此処で工程は終了される。例えば1000 Aなどの厚手のフィルムを堆積する場合には工程のサイクル数が増加される。これは工程107で示されて居り、ここで窒素なしのH2−WF6還元CVDが実施される。工程105から工程107への遷移は窒素の流入をオフにすることにより、もし必要ならば、工程105用に減少或は停止されたアルゴン或はその他のガスの流入を復活させる。タングステン層の別部分が堆積される。窒素の別のパルスが次いで工程109で導入され、タングステン層の別の部分が、再び窒素の存在下で堆積される。1サイクル以上の追加的サイクルのN2なし及びN2ありWF6のH2還元が必要ならば、こうして実施されて所望の厚さに到達する。或る実施形態においては、工程はH2のみの還元の後に終了する。
別の実施形態においては、N2及びタングステンを含む前駆体のパルスが同時につきでチャンバに導入され(例えばN2をタングステン前駆体のキャリヤガスとして)、パルス式N2あり還元工程がH2のみの還元工程の介在なしで実施される。図3Aに略示されるように、H2のみのCVD還元とN2ありのCVDの交互で形成された複数階のバルクタングステン層(301)及びN2ありのCVDのみで形成されたもの(303)の両方ともN2ありの単階層(305)より高反射率であり、後者はH2のみの単階層(307)より高反射率である。N2ありの複数階層を形成するのに、N2パルスの間に少なくとも1秒の遅延の存在することに留意されたい。
図3Bは本発明の或る実施形態におけるタイミングシークエンスの一例を示す。上記の工程103ー109に記載されたN2ありのCVDサイクルの開始前、示されているように、予備加熱及び第一H2(N2なし)還元の起こることもある。予備加熱及び第一堆積は共に任意的である。或る実施形態においては、水を例えば395Cで予備加熱することが抵抗の不均一性を減少するのに役立つ。叉或る実施形態においては、良好な応答及び再現性を達成するため、タングステン前駆体が真空ポンプに迂回されることもある。これによって前駆体が堆積チャンバに導入される前の流れが安定化される。図3に示される実施形態の場合、WF6の流れは各CVD堆積の前後で迂回され、WF6は堆積の間にチャンバに流入される。図3には2サイクルのN2−CVD堆積が描かれているが、N2−CVD堆積のサイクル数の範囲は1と如何なる所望の数の間であってもよい。N2−CVD堆積のサイクルが例えば2−5のような複数であると、これが単数である場合より粗度が改善するものと見出されている。N2−CVDのサイクルの各々にはH2のみのCVDとその後の遅延期間(遅延1)を有する。この遅延の範囲は0−1分であり、例えば2或は3秒であってよい。逐次的に描かれてはあるが、WF6の迂回と遅延は典型的には同時である。即ちWF6は還元の間の遅延の期間中に迂回される。或る実施形態では迂回がなく、タングステンは工程の期間を通じてチャンバに流入される。各N2−CVDサイクルには叉後に遅延期間(遅延2)のつくN2ありのH2還元がある。最小1−3秒の遅延があると、N2ありのH2還元の後に遅延のない工程の場合より粗度が改善されることが知られている。これはチャンバ内に残留する窒素が堆積されたフィルムの表面を不動態化し、後続のH2のみのフィルムがより平滑な様相で堆積させられるからであると信じられる。
タングステン前駆体の流れがタイミングシークエンスに示されて居る。アルゴン或はその他のキャリヤガス、水素及び窒素のチャンバへの流入はシークエンスの下に記されている。図示のごとく、N2の中のH2還元の場合以外アルゴン流は不変であり、その場合においては追加的N2ガスの分として減少或は停止される。工程の期間を通じてH2は不変であり、N2はN2存在下のH2還元の期間中のみ流入される。
この工程はバルクタングステン層を堆積する前回のH2−WF6CVD還元工程と著しく異なることに留意されたい。前回の工程ではバルク層を堆積するのに一組のCVD条件とガスが使用される。米国特許7141494号は窒素の存在下でのWF6のH2還元によるタングステンバルク層の堆積を記述している。この特許に記載されているように、例えばWF6−H2,WF6−B2H6或はW(CO)6を含む工程ガスがチャンバに導入される。窒素も工程ガスがチャンバに導入される以前、最中、或は以後に堆積チャンバ内に導入される。或る場合には、タングステンが核形成出来るように、窒素は堆積工程の開始直後に導入される。しかし、窒素が一度導入されると、堆積工程は窒素の追加的パルスなしで継続する。しかし、下記のように、'494号特許に記載された工程の結果として水素の存在しない条件で堆積されたタングステンより改善された粗度が得られるが、工程の期間を通じて窒素のパルシングを行うと結果として改善された粗度と改善された反射率が得られる。
表1は交互窒素パルス付きのH2還元によって製作されたタングステンフィルム、窒素なしのH2還元で製作されたフィルム、及び堆積期間中窒素を流通させたH2還元で製作されたフィルムについて反射率を比較したものである。
タングステン核形成層堆積は上記のようにPNL工程によって実施された。ジボランと6フッ化タングステンの交互パルスが低温で工程1と2の両方で使用され、工程2では図2で説明されたような低抵抗率処理も含まれた。H2のみ及びN2を工程中使用したH2還元の両方と比較して、交互N2工程はより高い反射率を示した(工程1の場合平均反射率1.2対1.18及び1.11;工程2の場合1.18対1.14)。抵抗率の不均一性も工程1では改善されていた。
反射率の改善のみならず、交互パルスの工程では粗度もその他の両方の工程に比して改善される。N2の存在下ではN2の存在しない場合に較べてWF6のH2還元で粗度の改善されることは通常知られているが、予期に反し、H2のみのCVD還元とN2H2CVD還元を交互に実施することで粗度の減少が更に改善される。特別の理論によって限定されることなく、この現象は以下のメカニズムによるものと信じられる。即ち、タングステン堆積期間全体に亘って窒素が存在すると、粒子が或る領域で成長し、窒素ガス流入が交互に実施されると、この粒子の成長の連続性が中断される。窒素ガスが存在するとタングステン成長表面の上の或る核形成領域を抑制するかもしれず、保証されたタングステン粒子で以前のテンプレートに従わないものも発生する可能性がある。
表1において、N2の添加によってフィルムの抵抗率は多少増加するが、この工程では抵抗率13マイクロオームcm以下のフィルムが製造される。従って、この工程では粒子サイズで可能な低抵抗率のみならず、通常小さい粒子サイズで達成可能な平滑性をも達成可能である。これは粒子のサイズが窒素への露出のない場合と同様に留まるからである。
本発明の他の面において、フィルムの抵抗率と粗度がN2のスタックの存在下のH2−CVDとH2のみのCVDスタックの混合によって最適化される。(H2−CVDとはWF6のH2還元でWをCVDで堆積するの意)。殊に、フィルム全体に対するH2の存在下でのH2還元によって堆積されるフィルムの比を制御することにより、抵抗率及び反射率が最適化され得る。このことは図4に示されており、図4は種々のアルファにおける1000AのWフィルムのフィルム抵抗率及び反射率を示している。ここでアルファとはN2の存在下H2還元によって堆積された全体の厚さをN2なしのH2還元で堆積された全体の厚さで割ったものであり、全体の厚さとは総てのサイクルで堆積された厚さのことである。アルファ=0のデータはH2のみの工程に相当し、アルファ=1のデータはCVD還元の大部分或いは実質上全部に於いてN2の存在下であった工程に相当する。図4は抵抗率がアルファと共に増加し、それから平坦化し、アルファが0.8の場合には減少さえすることを示している。反射率はアルファが約0と0.8の間で増加し、それから1.0前後で減少する。従って、反射率と抵抗率を最適化するのに(より高い反射率とより低い抵抗率を所望)、或る実施形態においては、約0.2と0.9の間のアルファが使用される。特種な実施形態の場合、0.4と0.8の間のアルファが使用される。他の実施形態では0.4と0.8の間のアルファが使用され、殊に0.6と0.8の間のアルファが使用される。アルファはH2のみのCVD工程の各々、及びN2の存在下のCVD工程の各々で堆積されるフィルムの量を制御することで制御される。従ってアルファの値を0.5とする場合、単一N2−CVDサイクル(H2のみの還元及びN2存在下の還元を含むサイクル)における各還元工程で略同量の物質が堆積される。
本発明の他の面においては、反射率を向上させるためにCVD工程の実施される温度が増加される。殊にN2の存在下のH2還元で温度を約400C以上にすると400C以下の場合より良好な反射率となる。H2のみのCVDの場合の反射率が反対の結果を示すので、上記の結果は予期に反することであった。図5及び6は夫々H2のみのCVDフィルムとN2の存在下のCVDフィルムの抵抗率と反射率を示すものである。図5は温度の増加により両方の工程のフィルム抵抗率が減少することを示しており、これは成長速度の増加と共に粒子サイズが増加し粒子間の境界が減少するので予期されることである。図6はH2のみのCVDの場合温度の増加と共に反射率の低下することを示している。抵抗率の低下の結果である粒子サイズの増加で拡散散乱が増加し、粗度が増加し、反射率が低下することも予期されることである。しかし、予期されなかったことは、415C工程が例えばH2のみの場合111%から108%に低下し、N2の存在下の工程で116%から118%に増加する一方、N2の存在下の工程の反射率が温度の増加と共に増加することである。
或る実施形態において、N2−CVDサイクルの間の温度はN2−CVDサイクルの前に実施されるH2のみの工程より高い。又或る実施形態において、N2−CVDサイクルのN2存在下の還元の温度はそのサイクルのH2のみの部分の期間より高い。
[装置]
本発明の方法は種々の販売元から入手可能な種々の種類の堆積装置で実施可能である。適当な装置の例にはNovellus Concept−1 Altus,Concept 2 Altus,Concept−2 ALTUS−S,Concept 3 Altus堆積装置、及び他の市場で入手可能なCVDツールが含まれる。場合によって、工程は複数の堆積部署において逐次的に実施されてもよい。例えば米国特許6143082号が参照可能であり、これはすべての目的において本願に参照して合同されるものとする。或る実施形態において、パルス式核形成工程は単一の堆積チェンバ内に位置する2、5或はそれ以上の数の堆積部署の中の一つである第一部署で実施される。従って、還元ガス及びタングステン含有ガスは第一部署に於いてサブストレイトの表面に局地的雰囲気を生成する個別のガス供給システムを使用して交互にサブストレイトの表面に導入される。
次いで、上記のCVDを実施するのに他の部署が使用される。2個或いはそれ以上の部署が並列工程としてCVDの実施に使用されてもよい。代行的に、索引をウエファに付けてCVD工程を二個以上の部署で順番に実施してもよい。
図7は本発明の実施例によるタングステン薄膜堆積工程の実施に好適な工程システムのブロック図である。システム700は運搬モジュール703を有する。運搬モジュール703はサブストレイトが種々の反応器モジュールの間を移動する間に汚染される危険を最小化するため、清潔な加圧された環境を提供する。PNL堆積、所望されるならば複数パルス処理、及び本発明の実施例によるCVDの実施が可能である複数部署の反応器709が運搬モジュール703の上に設置される。チェンバ709はこれらの工程を順々に実施する複数の部署711、713、715及び717が含まれてもよい。例えばチェンバ709は部署711がPNL堆積を実施し、部署713が複数パルス処理を実施し、部署715と717とがCVDを実施するように形成されてもよい。
プラズマ或いは化学(プラズマを除く)予備洗浄を実施可能な単一或いは複数部署の一個以上のモジュール707が更に運搬モジュールの上に設置されていてもよい。モジュールは裏地窒化タングステン後処理のような種々その他の処理のために使用されてもよい。システム700は更に工程の前後にウエファを格納する一個以上(此処の場合には二個)のウエファ源モジュール701を含んでもよい。大気運搬チェンバ719内の大気ロボット(図示せず)が先ずウエファを源モジュール701からロードロック721へと取り出す。運搬モジュール703内のウエファ運搬装置(通常ロボットアームユニット)がロードロック721からウエファを運搬モジュール703に設置されたモジュールへ及びモジュールの間を運搬する。
或る実施例に於いては、堆積期間の工程条件を制御するシステム制御装置が使用される。典型的に制御装置は一個以上のメモリ装置と一個以上のプロセサを有する。プロセサはCPU或いはコンピュータ、アナログ及び/或はデジタル入力/出力接続子、ステッパモータ制御盤などから成る。
制御装置とは堆積装置の行動全体を制御するものでよい。システム制御装置はタイミング、ガスの混合、チェンバの圧力、チェンバの温度、ウエファの温度、RF電力、ウエファチャック及び台の位置、及び特別な工程の他のパラメタに関する命令などを含むシステム制御ソフトウエアを実施する。或る実施例に於いては、制御装置に関連するメモリ装置に記録されたその他のコンピュタプログラムが実施されてもよい。
典型的には制御装置に関連したユーザインタフェイスが存在する。このユーザインタフェイスには表示スクリーン、装置及び/或は工程条件図示用ソフトウエア、及び指摘用装置、キーボード、タッチスクリーン、マイクロホンなどのようなユーザ入力装置が含まれてよい。
堆積及び工程配列内のその他の工程の制御用のコンピュータプログラムコードはC,C++,Pascal,Fortranその他のようなコンピュータが読める如何なる言語で記載されたものであってもよい。コンパイルされたコード或いはスクリプトは、プログラムで特定されたタスクが実行されるようにプロセサによって実施される。
制御装置のパラメタは工程ガス構成及び流量、温度、圧力、RF電力レベル及び低周波RF周波数などのようなプラズマ条件、冷却ガス圧、及びチェンバ壁温度などの工程条件に関する。これらのパラメタはレシピの形態でユーザインタフェイスを通じてユーザに供されてよい。
工程を監視する信号がシステム制御装置のアナログ及び/或はデジタル入力接続子に与えられてよい。工程制御用のこれらの信号は堆積装置のアナログ及びデジタル出力接続子に出力される。
システムソフトウエアは多くの異なる様式に設計或いは形成されたものでよい。例えば、種々のチェンバ部品サブルーテン或いは制御オブジェクトが本発明の堆積工程の実施に必要なチェンバ部品の工程を制御するために書かれてよい。この目的のためのプログラム乃至プログラムの部分にはサブストレイト位置付けコード、工程ガス制御コード、圧力制御コード、ヒータ制御コード、及びプラズマ制御コードが含まれる。
サブストレイト位置付けプログラムはサブストレイトを台或いはチャックに載せたりサブストレイトとガス注入口及び/或は対象物のようなチェンバ内の他の部品との距離を制御したりするのに使用されるチェンバ部品を制御するプログラムコードを含んでよい。工程ガス制御コードはガス構成及び流量の制御の為、及び任意的にチェンバ内の圧力を安定化するように堆積前にガスをチェンバに流入させる為のコードを含んでよい。圧力制御コードは例えばチェンバの排出システムの絞り弁を調整してチェンバ内の圧力を制御するコードを含んでよい。ヒータ制御コードはサブストレイトの加熱用に使用される加熱ユニットへの電流を制御するコードを含んでよい。代行的に、ヒータ制御プログラムがヘリウムのような熱輸送ガスのウエファチャックへの配達を制御してもよい。
堆積期間に監視されてよいチェンバセンサの例には質量流制御装置、マノメタのような圧力センサ及び台或いはチャックに位置される熱電対がある。適宜にプログラムされたフィードバック及び制御アルゴリズムがこれらのセンサからのデータと共に所望の工程条件の維持に使用されてよい。
本発明の実施例の実行には単一或いは複数チェンバの半導体工程ツールが使用される。
[応用]
本発明は多くの応用のための薄手低抵抗タングステン層の堆積に使用可能である。好適な応用例として、メモリチップやマイクロプロセサのような集積回路での相互接続子がある。相互接続子は単一金属化層の上の電流線であり、一般的に長くて薄く平坦な構成である。相互接続子の基本的応用はメモリチップのビット線である。これらの形成にはまずタングステン層がブランケット式に堆積され(上記の工程による)、次いでパタニング工程で電流通過の位置を定義し、タングステン線外部の領域からタングステンを除去する。
相互接続子の基本的応用はメモリチップのビット線である。言うまでもまく、本発明は相互接続子への応用に限定されるものではなく、通路ホール、接点装置及びその他電子機器に通常見られるタングステン構成物に及ぶものである。一般的に、本発明は薄くて低抵抗のタングステン層が必要とされる環境に応用面を見出すものである。
多くの応用面において重要なパラメタとして、最終的に堆積されるタングステン層の比較的低い粗度がある。タングステン層の粗度は好適に堆積されたタングステン層の全体の厚さの約10%以下であり、更に好適には堆積されたタングステン層の全体の厚さの約5%以下である。タングステン層の粗度は原子力顕微鏡検査法など、種々の技術によって測定可能である。
[他の実施形態]
本発明は若干の実施例に関して記述されたものであるが、本発明の範囲内には多くの変更、置換、代行、均等のものが含まれる。本発明の方法及び装置を実施する多くの代行的様相の存在することは銘記されるべきことである。従って、此処に添付される請求項は本発明の真の意図と範囲にあるかような変更、置換、代行、均等によるものを含むものとする。

Claims (19)

  1. タングステンフィルムを半導体サブストレイトの上に形成する方法であって、
    該半導体サブストレイトの上にタングステン核形成層を堆積する工程と、
    該タングステン核形成層の上にタングステンバルク層をCVD工程で堆積する工程と
    を備え、
    前記CVD工程において該半導体サブストレイトは、該タングステンバルク層の堆積の間に、パルス間に遅延期間が存在する窒素の複数パルスに露出される方法。
  2. 前記タングステン核形成層を堆積する工程が1サイクル以上を有し
    前記1サイクルは、タングステンを含む前駆体が窒素の存在しない状態で還元剤によって還元される少なくとも一つのCVD工程及びタングステンを含む前駆体が窒素の存在下で還元剤によって還元される少なくとも一つのCVD工程を含む、請求項1に記載の方法。
  3. 少なくとも2サイクルを含む、請求項2に記載の方法。
  4. 堆積されたタングステンバルク層の反射率が空のシリコンウエファの反射率より20%大きい、請求項1に記載の方法。
  5. 堆積されたタングステンバルク層の抵抗率が約15マイクロオーム−cm以下である、請求項1に記載の方法。
  6. 堆積されたタングステンバルク層の抵抗率が約13マイクロオーム−cm以下である、請求項1に記載の方法。
  7. 堆積されたタングステンバルク層が、タングステンを含む前駆体の窒素の存在下での還元で堆積される第一の厚さと、タングステンを含む前駆体の窒素の存在しない状態での還元で堆積される第二の厚さとから成り、全体の厚さは第一の厚さと第二の厚さの和であり、第一の厚さの全体の厚さに対する割合が約0.2と0.9の間にある、請求項1に記載の方法。
  8. 第一の厚さの全体の厚さに対する割合が約0.4と0.8の間にある、請求項7に記載の方法。
  9. 第一の厚さの全体の厚さに対する割合が約0.5と0.8の間にある、請求項7に記載の方法。
  10. 第一の厚さの全体の厚さに対する割合が約0.6と0.8の間にある、請求項7に記載の方法。
  11. 前記タングステン核形成層を堆積する工程は、還元剤のパルスとタングステンを含む前駆体のパルスとをサブストレイトの上に交互に行うことを含み、PNL工程によって核形成層を堆積する、請求項1に記載の方法。
  12. 前記タングステンバルク層を堆積する工程が、タングステンを含む前駆体が窒素の存在しない状態で還元剤によって還元されてタングステンが堆積される少なくとも一つのCVD工程と、タングステンを含む前駆体が窒素の存在下還元剤によって還元されてタングステンが堆積される少なくとも一つのCVD工程とを有し、窒素存在下のCVD工程の間の温度が窒素が存在しない状態のCVD工程の間の温度より高い、請求項1に記載の方法。
  13. 前記タングステンバルク層を堆積する工程が、WFをHで還元することを含む、請求項1に記載の方法。
  14. 前記タングステンを含む前駆体がWFであり、前記還元剤がHである、請求項2に記載の方法。
  15. タングステンを含む前駆体を堆積する工程が、複数の逐次的CVD工程を有し、還元剤が窒素の存在下でタングステンを含む前駆体を還元し、前記複数の逐次的CVD工程の間には少なくとも1秒の遅延期間がある、請求項1に記載の方法。
  16. タングステンフィルムを半導体サブストレイトの上に形成する方法であって、
    該半導体サブストレイトの上にタングステン核形成層を堆積する工程と、
    該タングステン核形成層の上にタングステンバルク層をCVD工程においてタングステンを含む前駆体を還元することで堆積する工程と
    を備え、
    前記CVD工程においては該半導体サブストレイトが該タングステンバルク層の堆積の間窒素の複数パルスに露出され、窒素パルス間にはaが0.2と0.9の間にあるように遅延期間が含められ、aとは窒素の存在下で堆積されたタングステンバルク層のタングステンの厚さをタングステンバルク層の全体の厚さで割った比のことである、方法。
  17. aが0.5と0.8の間にある、請求項16に記載の方法。
  18. 前記タングステンバルク層が、WFのHによる還元で堆積される、請求項16に記載の方法。
  19. タングステンフィルムを半導体サブストレイトの上に堆積する装置であって、
    サブストレイト支持具及びサブストレイトをガスのパルスに露出させるように構成された一個以上のガス入口を含む堆積チャンバーと、
    該堆積チャンバー内の工程を制御する制御器と
    を備え、
    該制御器はタングステンを含む前駆体及び還元剤をチャンバー内へ流入させ、窒素をパルス間に遅延期間を持たせてチャンバーの中へ脈動させる、装置。
JP2011525228A 2008-08-29 2009-08-28 タングステンの粗度減少及び反射率改善の方法、集積回路の製造方法、並びにタングステンフィルムを半導体基板上に堆積する装置 Active JP5683022B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/202,126 2008-08-29
US12/202,126 US8551885B2 (en) 2008-08-29 2008-08-29 Method for reducing tungsten roughness and improving reflectivity
PCT/US2009/055349 WO2010025357A2 (en) 2008-08-29 2009-08-28 Method for reducing tungsten roughness and improving reflectivity

Publications (3)

Publication Number Publication Date
JP2012501388A true JP2012501388A (ja) 2012-01-19
JP2012501388A5 JP2012501388A5 (ja) 2013-02-07
JP5683022B2 JP5683022B2 (ja) 2015-03-11

Family

ID=41722304

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011525228A Active JP5683022B2 (ja) 2008-08-29 2009-08-28 タングステンの粗度減少及び反射率改善の方法、集積回路の製造方法、並びにタングステンフィルムを半導体基板上に堆積する装置

Country Status (5)

Country Link
US (1) US8551885B2 (ja)
JP (1) JP5683022B2 (ja)
KR (2) KR20130119519A (ja)
CN (1) CN102084462B (ja)
WO (1) WO2010025357A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015193908A (ja) * 2014-03-25 2015-11-05 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
JP2018184636A (ja) * 2017-04-26 2018-11-22 東京エレクトロン株式会社 タングステン膜を形成する方法
US10566280B2 (en) 2018-03-09 2020-02-18 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8283644B2 (en) * 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP6311547B2 (ja) * 2013-11-05 2018-04-18 東京エレクトロン株式会社 マスク構造体の形成方法、成膜装置及び記憶媒体
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) * 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9634245B2 (en) 2015-01-09 2017-04-25 Micron Technology, Inc. Structures incorporating and methods of forming metal lines including carbon
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
KR102397797B1 (ko) * 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
TWI750120B (zh) 2015-06-05 2021-12-21 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9837402B1 (en) 2016-06-01 2017-12-05 Globalfoundries Inc. Method of concurrently forming source/drain and gate contacts and related device
US10157827B2 (en) 2016-06-29 2018-12-18 International Business Machines Corporation Semiconductor contact
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10446653B2 (en) 2016-11-15 2019-10-15 Globalfoundries Inc. Transistor-based semiconductor device with air-gap spacers and gate contact over active area
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
CN107527864B (zh) * 2017-08-31 2019-03-12 长江存储科技有限责任公司 一种存储器件、钨形核层及其制备方法
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10388747B1 (en) 2018-03-28 2019-08-20 Globalfoundries Inc. Gate contact structure positioned above an active region with air gaps positioned adjacent the gate structure
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020112616A1 (en) * 2018-11-30 2020-06-04 Lam Research Corporation Line bending control for memory applications
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US10886378B2 (en) 2019-01-02 2021-01-05 Globalfoundries Inc. Method of forming air-gap spacers and gate contact over active region and the resulting device
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
CN111139451A (zh) * 2020-01-02 2020-05-12 长江存储科技有限责任公司 膜层结构、膜层结构沉积方法及设备
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
US11482452B2 (en) * 2020-12-24 2022-10-25 Wonik Ips Co., Ltd Method of forming a contact plug in a semiconductor integrated circuit device
CN113053809A (zh) * 2021-03-22 2021-06-29 长江存储科技有限责任公司 化学气相沉积方法、三维存储器及制备方法、存储器系统
CN113053810A (zh) * 2021-03-22 2021-06-29 长江存储科技有限责任公司 化学气相沉积方法、三维存储器及制备方法、存储器系统
WO2024097068A1 (en) * 2022-11-02 2024-05-10 Lam Research Corporation Dual nitrogen flow capability for low fluorine tungsten deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001525889A (ja) * 1997-05-16 2001-12-11 アプライド マテリアルズ インコーポレイテッド B▲下2▼h▲下6▼を用いた低抵抗率タングステン
US20050031786A1 (en) * 2001-05-22 2005-02-10 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62216224A (ja) * 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) * 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) * 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5250329A (en) * 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) * 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
KR100209856B1 (ko) * 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) * 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) * 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
DE69518710T2 (de) * 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
US5863819A (en) * 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) * 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6297152B1 (en) * 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US5804249A (en) * 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) * 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) * 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11260759A (ja) * 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) * 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6300966B1 (en) * 1998-12-15 2001-10-09 Lucent Technologies Inc. Method of providing on-screen notification of non-visible alarmed network elements
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US20010014533A1 (en) * 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
US6245654B1 (en) * 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) * 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) * 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) * 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) * 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6309966B1 (en) * 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) * 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6277744B1 (en) * 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
JP5184731B2 (ja) 2000-05-18 2013-04-17 コーニング インコーポレイテッド 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6908848B2 (en) * 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6566262B1 (en) * 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) * 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US6566250B1 (en) * 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) * 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) * 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
US6844258B1 (en) * 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) * 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100557626B1 (ko) * 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7517798B2 (en) * 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8153831B2 (en) * 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
DE102009055392B4 (de) * 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001525889A (ja) * 1997-05-16 2001-12-11 アプライド マテリアルズ インコーポレイテッド B▲下2▼h▲下6▼を用いた低抵抗率タングステン
US20050031786A1 (en) * 2001-05-22 2005-02-10 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015193908A (ja) * 2014-03-25 2015-11-05 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
JP2018184636A (ja) * 2017-04-26 2018-11-22 東京エレクトロン株式会社 タングステン膜を形成する方法
US10566280B2 (en) 2018-03-09 2020-02-18 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
CN102084462B (zh) 2016-01-27
JP5683022B2 (ja) 2015-03-11
KR20130119519A (ko) 2013-10-31
US8551885B2 (en) 2013-10-08
KR101363648B1 (ko) 2014-02-14
CN102084462A (zh) 2011-06-01
WO2010025357A2 (en) 2010-03-04
US20100055904A1 (en) 2010-03-04
WO2010025357A3 (en) 2010-06-03
KR20110056494A (ko) 2011-05-30

Similar Documents

Publication Publication Date Title
JP5683022B2 (ja) タングステンの粗度減少及び反射率改善の方法、集積回路の製造方法、並びにタングステンフィルムを半導体基板上に堆積する装置
US8853080B2 (en) Method for depositing tungsten film with low roughness and low resistivity
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
JP5376361B2 (ja) タングステン膜の製造方法および装置
US9589808B2 (en) Method for depositing extremely low resistivity tungsten
US7658970B2 (en) Noble metal layer formation for copper film deposition
KR101642917B1 (ko) 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법
US20140154883A1 (en) Tungsten nucleation process to enable low resistivity tungsten feature fill
US9589835B2 (en) Method for forming tungsten film having low resistivity, low roughness and high reflectivity
CN114678326A (zh) 用于在金属填充工艺期间防止线弯曲的方法
TW200421465A (en) Method of forming tungsten film
US20040175502A1 (en) Two-step atomic layer deposition of copper layers
TWI541836B (zh) 用於沉積具有低電阻率及堅固微黏附特性之鎢薄膜之方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140307

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140402

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150109

R150 Certificate of patent or registration of utility model

Ref document number: 5683022

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250