JP2011091394A - 誘電体とiii/v化合物との間の低欠陥界面の製造方法 - Google Patents

誘電体とiii/v化合物との間の低欠陥界面の製造方法 Download PDF

Info

Publication number
JP2011091394A
JP2011091394A JP2010224199A JP2010224199A JP2011091394A JP 2011091394 A JP2011091394 A JP 2011091394A JP 2010224199 A JP2010224199 A JP 2010224199A JP 2010224199 A JP2010224199 A JP 2010224199A JP 2011091394 A JP2011091394 A JP 2011091394A
Authority
JP
Japan
Prior art keywords
iii
compound
intermediate layer
chalcogenide
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010224199A
Other languages
English (en)
Other versions
JP2011091394A5 (ja
Inventor
Clement Merckling
クレマン・メルクリン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2011091394A publication Critical patent/JP2011091394A/ja
Publication of JP2011091394A5 publication Critical patent/JP2011091394A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】本発明は、誘電体材料とIII/V化合物との間に低欠陥界面を製造する方法に関する。
【解決手段】本発明の方法は、(a)第1のIII−V化合物を含む露出領域を含む基板を用意するステップと、(b)その上に、第2のIII−V化合物を含む少なくとも1つの中間層を形成するステップと、(c)その後、超高真空中で基板に熱処理を施し、第1温度(T)に到達する際、第2のIII−V化合物の表面再構成が生じて、III族元素リッチの表面を形成するようにしたステップと、(d)III族元素リッチ表面を含む基板を第2温度(T)にして、III族元素リッチ表面をカルコゲナイドハイドライドガスを含む環境に曝して、カルコゲナイド・パッシベーション表面を形成するステップと、(e)カルコゲナイド・パッシベーション表面の上に誘電体層を形成し、誘電体層と第2のIII−V化合物との間にパッシベーション界面を形成するステップと、を含む。
【選択図】図11

Description

本発明は、誘電体材料とIII/V化合物との間に低欠陥界面を製造する方法に関する。特に、本発明は、誘電体材料とIII−V化合物との間にパッシベーション(passivated)界面を製造する方法に関する。
また本発明は、誘電体材料とIII−V化合物との間に低欠陥界面を含み、改善した性能を有するデバイスに関する。
金属酸化膜半導体電界効果トランジスタ(MOSFET)の性能の将来的な改善は、高い移動度(high-μ)半導体チャネルを必要とする。駆動電流能力を増加するために、新規な材料とより高いキャリア移動度との統合は、シリコンベースのCMOSを克服するための現実的な挑戦である。1つの手法は、nMOSについてIII−Vベースのチャネルと組み合わせた、pMOSについてゲルマニウムベースのチャネルを使用することである。こうしたデバイスの主要な課題は、チャネルにおいて低い漏れ電流、低い界面準位密度および高いキャリア移動度を得ることである。
従って、ゲート酸化物とGe/III−V材料との間の界面のパッシベーションは、高いデバイス性能およびEOTスケーリングを達成するための技術革新を必要とする。そのため材料の純度、均一性および界面の制御の観点で所望の品質を作り出すシステムを生産するための多大な技術的な努力が要求される。
分子線エピタキシー(MBE)がこうしたデバイスを製造する魅力的な技術として知られており、これは、高誘電率(high-κ)酸化物のその場(in-situ)堆積および高移動度(high-μ)基板界面での層を原子スケールで制御する潜在能力による。
本質的に優れた電子移動度を持つガリウム砒素(GaAs)は、サブ15nmノードn−MOSの有望な候補として考えられている。酸化物/GaAs界面での高い界面準位密度Ditは、基本的なMOSFET動作を妨害するフェルミ準位ピンニングの主要な原因である。
フェルミ準位ピンニングを防止するために、幾つかのパッシベーション技術が試行されている。例えば、カルコゲナイドまたは水素表面処理(文献: Callegari et al. in Appl. Phys. Lett. 93, 183504 (2008)など)、分子線エピタキシー成長Ga(Gd)酸化物(文献: Hong et al. in Science 283, 1897 (1999)など)、またはアモルファスSiやアモルファスGeなどの界面パッシベーション層(文献: Kim et al. in Appl. Phys. Lett 92, 032907 (2008)など)。Dit低減においてかなりの改善が行われたが、高性能MOSデバイスを得るために更なる発展が必要である。
特許出願US−A1−6159834は、III−V基板の上部にGaGdO酸化物をエピタキシャル成長させることを開示する。GaGdO酸化物は、III−V基板の表面再構成を安定化させるものであり、界面応力を最小化し、ピンニング無し(unpinned)フェルミ準位をもたらす。しかしながら、この方法は、著しい漏れを示すことがある特定のGaGdOの使用に対して統合選択肢を制限する。
代替の方法(文献: De Souza et al. in Appl. Phys. Letters 92 153508 (2008))は、III−V基板(GaAs)の上部に、アモルファスシリコン層を堆積することを含む。しかしながら、この手法は、基板内で誘起された応力および、界面でのフェルミ準位ピンニングを支配する電子計数(electron-counting)を制御していない。
従って、上述のような不具合を示すことなく、改善した性能を持つデバイスをもたらすような、III−V基板を有効にパッシベーション化する方法を見つけることが望まれている。
(発明の要旨)
一態様によれば、本発明は、誘電体(材料)とIII−V化合物との間にパッシベーション(passivated)界面を製造する方法に関するものであり、該方法は下記のステップを含む。
(a)第1のIII−V化合物を含む露出領域を含む基板を用意するステップ。
(b)その上に、第2のIII−V化合物を含む少なくとも1つの中間層を形成するステップ。
(c)その後、超高真空中(ultra-high-vacuum)で基板に熱処理を施し、第1温度(T)に到達する際、第2のIII−V化合物の表面再構成が生じて、III族元素リッチの表面を形成するようにしたステップ。
(d)III族元素リッチ表面を含む基板を第2温度(T)にして、III族元素リッチ表面をカルコゲナイド(chalcogenide)ハイドライドガスを含む環境に曝して、カルコゲナイド・パッシベーション表面を形成するステップ。
(e)カルコゲナイド・パッシベーション表面の上に誘電体層を形成し、誘電体層と第2のIII−V化合物との間にパッシベーション界面を形成するステップ。
本発明の方法において、好ましくは、ステップ(b)は、超高真空下で実施し、ステップ(b)〜ステップ(e)において真空破壊(vacuum break)を行わない。
本発明の方法において、好ましくは、第1のIII−V化合物または第2のIII−V化合物のいずれかは、Asを含むIII−V化合物およびこれらの任意の組合せまたは混合物からなるグループから選択され、より好ましくは、GaAs,InGaAs,InAs,InAlAs,AlGaAs,GaAsSb,InAlAsSb,InAlAsP,InGaAsPおよびこれらの任意の組合せまたは混合物からなるグループから選択される。
本発明の方法の好ましい他の態様において、第1のIII−V化合物または第2のIII−V化合物のいずれかは、InP,InSb,GaSb,AlSbおよびこれらの任意の組合せまたは混合物からなるグループから選択される。より好ましくは、第1のIII−V化合物または第2のIII−V化合物のいずれかは、GaAs,InGaAs,InAsおよびこれらの任意の組合せまたは混合物からなるグループから選択される。より好ましくは、第1のIII−V化合物または第2のIII−V化合物のいずれかは、GaAsを含むように選択される。
本発明の方法において、好ましくは、第1のIII−V化合物および第2のIII−V化合物は、同じ化学組成を有する。
本発明の方法において、好ましくは、第1温度(T)は、(約)400℃〜(約)600℃である。
本発明の方法において、好ましくは、第2温度(T)は、(約)15℃〜(約)400℃である。
本発明の方法において、好ましくは、ステップ(c)で得られるIII族元素リッチ表面は、[110]アジマスに沿った(×4)対称性を持つ表面再構成を示す。
本発明の方法の好ましい態様によれば、第2のIII−V化合物は、GaAsを含み、ステップ(c)で得られるIII族元素リッチ表面は、(4×6)対称性を示す。
本発明の方法において、好ましくは、ステップ(d)で得られるカルコゲナイド・パッシベーション表面は、(2×1)対称性を示す。
本発明の方法において、好ましくは、高速反射電子線回折(RHEED)解析を用いて、原子表面再構成をリアルタイムで監視する。
本発明の方法において、好ましくは、第2のIII−V化合物は、GaAs、好ましくはGaAs(001)を含み、第1温度(T)は、(約)580℃〜(約)600℃、好ましくは(約)580℃〜(約)595℃、より好ましくは(約)585℃〜(約)595℃、さらにより好ましくは(約)585℃〜(約)590℃であり、第2温度(T)は、(約)350℃〜(約)400℃、好ましくは(約)350℃〜(約)380℃、より好ましくは(約)360℃〜(約)380℃である。
本発明の方法において、好ましくは、III族元素リッチ表面をカルコゲナイドハイドライドを含む環境に曝すステップは、プラズマ支援されており、第2温度(T)は、室温(即ち、(約)15℃〜(約)30℃の温度)である。
本発明の方法において、好ましくは、カルコゲナイドハイドライドガスは、HS,HSe,HTeおよびこれらの任意の組合せまたは混合物からなるグループから選択される。より好ましくは、カルコゲナイドハイドライドは、硫化水素(HS)を含む。
本発明の方法において、好ましくは、誘電体層は、Al,HfO,DyScOおよびこれらの任意の組合せまたは混合物からなるグループから好ましく選択される高誘電率(high-κ)誘電体を含む。より好ましくは、誘電体層は、Alを含むように選択される。
本発明の方法において、好ましくは、ステップ(b)は、
・露出領域を覆い、これと接触する第1中間層を形成するステップと、
・第1中間層を覆い、これと接触する第2中間層を形成するステップと、
・第2中間層を覆い、これと接触する第3中間層を形成するステップと、
・第3中間層を覆い、これと接触する第4中間層を形成するステップとを含み、
第1中間層および第3中間層は、第3のIII−V化合物を含み、第2中間層および第4中間層は、第2のIII−V化合物を含む。
本発明の方法において、好ましくは、第3のIII−V化合物は、広いバンドギャップを有するように選択される。
本発明の方法において、好ましくは、第2のIII−V化合物は、狭いバンドギャップを有するように選択される。
本発明の方法は、好ましくは、誘電体層を形成するステップの後、堆積後(post-deposition)アニールを実施することをさらに含む。より好ましくは、堆積後アニールは、不活性環境で実施される。
本発明のもう一方によれば、誘電体材料とIII−V化合物との間にパッシベーション界面を有する電子デバイスが提供される。該デバイスは、
・第1のIII−V化合物を含む露出領域を含む基板と、
・その上に位置し、第2のIII−V化合物を含む少なくとも1つの中間層と、
・第2のIII−V化合物を含む少なくとも1つの中間層を覆う誘電体層と、
・第2のIII−V化合物と高誘電率(high-κ)誘電体との界面に位置し、本質的にカルコゲナイド原子−III族元素原子結合から成るカルコゲナイド・パッシベーション層と、を備える。
上記の電子デバイスにおいて、好ましくは、誘電体材料は、Al,HfO,DyScOおよびこれらの任意の組合せまたは混合物からなるグループから好ましく選択される高誘電率(high-κ)誘電体を含む。より好ましくは、誘電体層は、Alを含むように選択される。
他の態様において、電子デバイスが提供され、該デバイスは、
・第1のIII−V化合物を含む露出領域を含む基板と、
・その上に位置し、第2のIII−V化合物を含む少なくとも1つの中間層と、
・第2のIII−V化合物を含む少なくとも1つの中間層を覆う誘電体層と、
・第2のIII−V化合物と誘電体材料層との界面に位置し、上述した方法に従って形成されたカルコゲナイド・パッシベーション層とを備える。
本発明のデバイスにおいて、好ましくは、少なくとも1つの中間層は、
・露出領域を覆い、これと接触する第1中間層と、
・第1中間層を覆い、これと接触する第2中間層と、
・第2中間層を覆い、これと接触する第3中間層と、
・第3中間層を覆い、これと接触する第4中間層とを備え、
第1中間層および第3中間層は、第3のIII−V化合物を含み、第2中間層および第4中間層は、第2のIII−V化合物を含む。
本発明のデバイスにおいて、好ましくは、第2のIII−V化合物は、狭いバンドギャップを有するように選択される。
本発明のデバイスにおいて、好ましくは、第3のIII−V化合物は、広いバンドギャップを有するように選択される。
さらに他の態様によれば、本発明は、上述したように、電子デバイス、好ましくは、MOSFETデバイスまたはHEMT−MOSFETデバイスの製造方法の使用に関する。
全ての図面は、本開示の幾つかの態様および実施形態を示すことを意図している。記載した図面は、概略的に過ぎず、非限定的なものである。
本開示の方法をフロー図で概略的に示す。 As環境で自然酸化物を除去する際に、表面再構成の結果として形成された(2×4)GaAs(001) Asリッチ表面を示すもので、図2(a)は平面図、図2(b)は断面図である。 超高真空中で580℃の熱処理の結果として形成された(4×6)GaAs(001) Gaリッチ表面を示すもので、図3(a)は平面図、図3(b)は断面図である。 S処理の際、(2×1)S含有p−GaAs(001)表面を示すもので、図4(a)は平面図、図4(b)は断面図、図4(c)はGaリッチ表面上に存在するS−Gaダイマー(dimer)を示す。 本開示の方法を実施するのに適したクラスターツールを概略的に示すもので、(I)はIII−V堆積/成長チャンバ、(II)は前処理UHVチャンバ、(III)は高誘電率(high-k)誘電体堆積チャンバ、(TM)は、管理環境を備えた移送モジュール、(LM)はロードモジュールである。 図6(a)は、本開示に係るパッシベーション界面を備えたMOSキャパシタ構造を概略的に示す。(1)は基板、(2)は露出した第1のIII−V化合物、(3)は中間層、(4)はカルコゲナイド・パッシベーション層、(5)は高誘電率(high-k)誘電体層、(6)は電極である。図6(b)は、中間層が、第1中間層(3i)、第2中間層(3ii)、第3中間層(3iii)および第4中間層(3iv)からなる実施形態を概略的に示す。 [110]および[1−10]アジマスに沿ってその場(in-situ)で記録したRHEED(高速反射電子線回折)パターンを示すもので、本開示の方法に従って、異なる表面再構成および硫黄吸着を示す。 100Hz〜1MHzの周波数範囲で25℃で測定した室温C−Vプロットを示すもので、図8(a)は、HS表面処理なしのキャパシタ、図8(b)は、Al酸化物堆積の前にHS表面処理したキャパシタである。 100Hz〜1MHzの周波数範囲で150℃で測定した高温C−Vプロットを示すもので、図9(a)は、HS表面処理なしのキャパシタ、図9(b)は、Al酸化物堆積の前にHS表面処理したキャパシタである。 p型基板およびn型基板上の2つのMOSキャパシタについて、100Hz〜1MHzの周波数範囲で暗所でのC−V測定を示すもので、図10(a)は、HS表面処理なしのキャパシタ、図10(b)は、Al酸化物堆積の前にHS表面処理したキャパシタである。 S表面処理なしのキャパシタ(a)およびAl酸化物堆積の前にHS表面処理したキャパシタ(b)の両方について、価電子帯の最小値(E)を超えたエネルギーの関数として、Dit分布を示す。
本開示の目的は、誘電体材料とIII−V化合物との界面を有効にパッシベーション化する方法を見出すことである。他の目的は、先行技術と比べて改善した性能を有する、誘電体材料とIII−V化合物との界面を備えたデバイスを提供することである。
電界効果トランジスタ(FET)などの電界効果デバイスは、データ処理および電気通信用の回路を含む大部分のデジタル回路および多くのアナログ回路において基本的コンポーネントである。
電界効果デバイスは、典型的には、ソース領域とドレイン領域との間に導電経路を備える。チャネル(ソースとドレインの間の経路領域)は、ゲート電極によって生成される電界によって制御される。
HEMT(高電子移動度トランジスタ)が、MOSFETの場合に一般的であるように、ドープ領域の代わりにチャネルとして、異なるバンドギャップを持つ2つの材料間の接合(即ち、ヘテロ接合またはヘテロ構造)を組み込んだ電界効果トランジスタである。広く用いられている材料の組合せは、GaAsとAlGaAsであるが、デバイス用途に応じて幅広いバリエーションがある。
本発明の一態様によれば、誘電体材料とIII−V化合物とのパッシベーション界面を製造する方法が提供される。該方法は、下記のステップを含む。
(a)第1のIII−V化合物を含む露出領域を含む基板を用意するステップ。
(b)その上に、第2のIII−V化合物を含む少なくとも1つの中間層を形成するステップ。
(c)その後、超高真空中(ultra-high-vacuum)で前記基板に熱処理を施して、第1温度(T)に到達する際、前記第2のIII−V化合物の表面再構成が生じて、III族元素リッチの表面を形成するようにしたステップ。
(d)前記III族元素リッチ表面を含む基板を第2温度(T)にして、前記III族元素リッチ表面をカルコゲナイド(chalcogenide)ハイドライドガスを含む環境に曝して、カルコゲナイド・パッシベーション表面を形成するステップ。
(e)前記カルコゲナイド・パッシベーション表面の上に誘電体層を形成し、誘電体層と前記第2のIII−V化合物との間にパッシベーション界面を形成するステップ。
本開示の状況において、用語「III−V化合物(半導体)」とは、元素周期表のIII族からの少なくとも1つの元素と、元素周期表のV族からの少なくとも1つの元素とを含む半導体材料を指す。典型的には、III−V化合物半導体は、III/V元素を含む二元、三元または四元の合金である。本開示の状況において、III−V化合物半導体の非限定的な例は、GaAs,InAs,InP,InSb,InGaAs,AlGaAs,InAIAs,InAlAsSb,InAlAsP、およびInGaAsP,GaSb,GaAsSb,AlSbである。
本開示の状況において、用語「バンドギャップ」とは、価電子帯の上部と伝導帯の底部の間のエネルギー差を指す。一般に、ワイドバンドギャップ(WBG)半導体は、(約)1.5eV、好ましくは(約)2eVより大きい電子バンドギャップを持つ半導体材料である。一般に、ナローバンドギャップ(NBG)半導体は、(約)1eV、好ましくは(約)0.6eVより小さい電子バンドギャップを持つ半導体材料である。しかしながら、上述した絶対的範囲は単に参考に過ぎず、本開示を通じて、デバイスの良好な動作を決定するのはWBGとNBGの間の相対的な差であって、バンドギャップの現実の絶対値ではない。
(約)3.9(SiOのκ値)より大きい誘電定数を有する誘電体材料は、ここでは高誘電率(high-κ)誘電体と称している。典型的には、高誘電率(high-κ)誘電体は、約7.0以上の誘電定数を有し、約10.0以上の誘電定数を有するのもより典型的である。
本開示の状況において、超高真空(UHV: ultra high vacuum)条件とは、約10−7Paまたは(約)100nPa(10−9mbar、〜10−9torr)より低い圧力で特徴付けられる真空状態を指す。
本開示の状況において、高真空(HV)条件とは、(約)10−4torr〜(約)10−6torrの圧力で特徴付けられる真空状態を指す。減圧条件とは、数mtorr〜(約)100torrの圧力範囲を指す。
本開示の状況において、誘電体(材料)とIII−V化合物基板との間のパッシベーション(passivated)界面または低欠陥界面は、典型的には、約1×1012cm−2eV−1未満の欠陥密度を示す。
本開示の状況において、「露出領域」とは、その上部において、何れか他の材料/化合物(または層)で覆われていない領域(または層)を指す。
本発明の状況において、「III族元素リッチ表面」とは、表面の構造的完全性(structural integrity)は悪影響を受けずに、適切な表面再構成を確保しつつ、III族元素の最高濃度に達している表面を指す。
本発明の状況において、「カルコゲナイド・パッシベーション表面」とは、上述したようなパッシベーション表面を指し、パッシベーションは、パッシベーション化される表面上でのカルコゲナイド原子の組み込み(好ましくは、吸着)によって機能している。
GaAs(001)は、世界中のIII−V族電子デバイスの大部分を生産するための開始表面である。実験成長条件の関数として、GaAs(001)表面のいろいろな再構成が科学文献において報告されており、化学量論、温度および環境が重要な役割を担う。
誘電体層の堆積の際、III−V層で蓄積される応力は、基板のフェルミ準位をピン止めする界面欠陥を生じさせる。
一般に、例えば、シリコン酸化物誘電体とシリコン基板との間のパッシベーション界面または低欠陥界面は、約1×1010cm−2eV−1未満の欠陥密度を示す。シリコンまたはゲルマニウムの基板上にある高誘電率(high-κ)誘電体の場合、パッシベーション界面または低欠陥界面は、約1×1011cm−2eV−1未満の欠陥密度を示す。しかしながら、論文で報告された値はしばしばばらついている。界面準位密度を測定/抽出するための方法は、明細書の他の箇所で説明するように、得られる数値に影響を及ぼすためである。
図1は、開示した方法のステップを概略的に表したフロー図(100)を示す。
本開示の好ましい種々の態様において、ステップ(b)〜(e)において真空破壊を行わない。換言すると、処理ステップ(104),(106),(108)および(110)の時、およびこれらの処理ステップの途中の移送の時は、基板は管理された環境下に維持される。
本開示の状況において、処理ステップに応じて、管理された環境は、高真空、または超高真空、または減圧した不活性ガスにできる。実際、管理された環境は、閉じたユニット内、例えば、処理チャンバ、移送チャンバ、またはウエハ保管用FOUP(front-opening-unified-pod)において実現できる。
好ましくは、処理ステップ(104)と処理ステップ(106)の間、および処理ステップ(106)と処理ステップ(108)の間で、基板は、超高真空(UHV)に維持される。
好ましくは、処理ステップ(108)と処理ステップ(110)の間で、基板は、高真空(HV)に維持される。
本開示の状況において、基板は、露出領域の下部またはこれを包囲するように、Si,Ge,SiGe,サファイア,シリコン・オン・インシュレータ(SOI),ゲルマニウム・オン・インシュレータ(GeOI),ガラス,石英,プラスチック,金属または半導体製造においてサポートとして適した他の材料をさらに備えてもよい。さらに、基板は、材料の組合せを含む複合基板、例えば、各層が異なる材料に対応した層状構造の形態でもよい。さらに、基板は、パターン化した領域、例えば、バルクSiウエハ上に区画されたSTI(shallow trench isolations)領域を含んでもよい。
本開示のいくつかの実施形態/態様では、第1のIII−V化合物および第2のIII−V化合物は、同じ化学組成及び/又は化学量論を有する。
好都合には、少なくとも1つの中間層を形成する前に、自然酸化物除去ステップ(dezoxidation)を実施する。第1のIII−V化合物を含む露出領域での自然酸化物除去は、例えば、減圧したHを含む環境での反応性除去ステップ、または高真空中でのAs下での熱処理によって実施できる。
第1のIII−V化合物を含む露出領域で自然酸化物除去を実施するための条件および手法は、半導体処理の分野における当業者にとって容易に明らかであろう。
自然酸化物除去ステップの際、第1のIII−V化合物の表面再構成が生ずる。
本発明の1つの好ましい実施形態において、第1のIII−V化合物(半導体)は、Asを含むIII−V化合物およびこれらの任意の組合せまたは混合物からなるグループ、より好ましくは、 GaAs,InGaAs,InAs,InAlAs,AlGaAs,GaAsSb,InAlAsSb,InAlAsP,InGaAsPおよびこれらの任意の組合せまたは混合物からなるグループから選択される。本発明の方法の他の好ましい態様において、第1のIII−V化合物は、InP,InSb,GaSb,AlSbおよびこれらの任意の組合せまたは混合物からなるグループから選択される。さらにより好ましくは、第1のIII−V化合物は、GaAs,InGaAs,InAsおよびこれらの任意の組合せまたは混合物からなるグループから選択される。さらにより好ましくは、第1のIII−V化合物(半導体)は、GaAs、より好ましくは、GaAs(001)を含むように選択される。
第1のIII−V化合物がGaAs(001)である実施形態/態様において、自然酸化物除去は、約10−6torrの圧力でAs環境で約580℃で行われる。その結果、図2(a)(平面図)および図2(b)(断面図)に示すように、(2×4)の表面再構成が起こる。(2×4)GaAs(001)表面再構成の主な特徴は、第2層Ga原子の上に位置する上層Asダイマー(dimer)からなるブロックによって分離されている溝の平行な列(row)である。
続いて、自然酸化物除去後の清浄な基板は、高真空条件下で堆積チャンバへ移送され、第2のIII−V化合物を含む少なくとも1つの層が堆積または成長する。
第2のIII−V化合物を含む少なくとも1つの中間層を形成するのに適した手法および実験条件は、半導体処理の分野における当業者にとって容易に明らかであろう。好都合には、第2のIII−V化合物を含む少なくとも1つの中間層を形成するために、V族元素リッチ条件(例えば、圧力、温度)を用いてもよい。
少なくとも1つの中間層は、化学気相成長チャンバ(例えば、MOCVD)または分子ビーム成長(MBD)チャンバにおいて堆積できる。
少なくとも1つの中間層を形成した後、基板は、高真空条件下でUHV前処理チャンバへ移送される。好ましくは、移送は、10−8torrより低い圧力の超高真空下で行われる。
UHV前処理チャンバでは、第1温度(T)に達する熱処理が実施され、第2のIII−V化合物の表面再構成が生じて、III族元素リッチの表面を形成する。
第2のIII−V化合物の適切な表面再構成、そして、III族元素リッチの表面の形成を特定し達成し特徴付けることは、本開示の観点から当業者の能力の範囲内である。この意味で、特定の第2のIII−V化合物の表面再構成を達成し、III族元素リッチの表面の形成するための適切な第1温度(T)を特定することも、本開示の観点から半導体処理の分野における当業者の能力の範囲内である。
本発明の状況では、第1温度(T)は、典型的には400℃〜600℃であるが、堆積または成長させる第2のIII−V化合物に依存している。第2のIII−V化合物がGaAsを含む特定の態様によれば、 第1温度(T)は、好ましくは580℃〜600℃である。。第2のIII−V化合物がInGaAs(特に、In0.53Ga0.47As)を含む特定の態様によれば、第1温度(T)は、好ましくは500℃〜520℃である。第2のIII−V化合物がInAsを含む特定の態様によれば、第1温度(T)は、好ましくは420℃〜440℃である。
III族元素リッチの表面を形成するのに適した手法および実験条件は、当業者にとって容易に明らかであろう。本発明の状況では、III族元素リッチの表面を形成することは、( 第1温度(T)に到達する)熱処理を基板に施すことによって達成でき、これにり第2のIII−V化合物を含む層からのV族元素の蒸発または脱離を誘導する。代替として、III族元素リッチの表面を形成することは、第1温度(T)で、好ましくは高真空条件下で、第2のIII−V化合物を含む層の上に直接に、V族元素を含む層を堆積することによって達成してもよい。
本発明の状況では、原子表面再構成をリアルタイムで監視するために、RHEED(高速反射電子線回折)解析が好ましく用いられる。好都合には、本発明の方法の好ましい態様の状況では、 RHEED解析を第2のIII−V化合物の各々について1回だけ実施して、適切な第1温度および第2温度を確立するとともに、本発明の方法のステップ(c)および(d)で生ずる、第2のIII−V化合物層の再構成を監視する。
第2のIII−V化合物がp型GaAs(001)である実施形態/態様では、UHV中での約580℃の表面再構成は、図3(a)(平面図)および図3(b)(断面図)に示すように、(4×6)Gaリッチ表面の形成をもたらす。第1温度(T)は、この特定の実施形態において、580℃〜600℃、より好ましくは580℃〜590℃の範囲にできる。
本発明の状況では、理論によって束縛されることなく、一般に好ましくは、本発明の方法のステップ(c)で発生する第2のIII−V化合物の表面再構成は、[110]アジマスに沿った(×4)対称性を持つ表面再構成を有するIII族元素リッチ表面の形成をもたらす。
続いて、III族元素リッチ表面は、 好ましくは第1温度(T)より低い第2温度(T)に設定され、カルコゲナイド(chalcogenide)ハイドライドガス処理が施される。好都合には、 カルコゲナイドハイドライドガス処理は、UHV前処理(UHV前処理チャンバ)と同じ処理チャンバ内で実施できる。
カルコゲナイド原子は、III族元素リッチ表面に吸着し、カルコゲナイド・パッシベーション表面の形成をもたらす。
III族元素リッチ表面にカルコゲナイドハイドライドガス処理を施すための適切な手法および実験条件は、当業者にとって容易に明らかになるであろう。
カルコゲナイド・パッシベーション表面の形成を達成し特徴付けることは、本開示の観点から、当業者の能力の範囲内である。その意味で、カルコゲナイド・パッシベーション表面を達成するための適切な第2温度(T)を特定することは、本開示の観点から、半導体処理の分野における当業者の能力の範囲内である。好都合には、適切な第2温度(T)とは、好ましくは、カルコゲナイドハイドライド分子化学結合の充分な破壊を確保するとともに、処理される表面へのカルコゲナイドハイドライドの充分な付着係数 (sticking coefficient)を保存する温度である。
本発明の状況では、第2温度(T)は、典型的には15℃〜400℃であり、第2のIII−V化合物の選択に依存している。
III族元素リッチ表面がGaAsを含む特定の態様によれば、第2温度(T)は、350℃〜380℃、好ましくは360℃〜380℃である。III族元素リッチ表面がInGaAs(特にIn0.53Ga0.47As)を含む特定の態様によれば、第2温度(T)は、好ましくは25℃〜75℃である。。III族元素リッチ表面がInAsを含む特定の態様によれば、第2温度(T)は、好ましくは25℃〜75℃である。
本開示の幾つかの実施形態/態様において、(4×6)GaAs(001)リッチ再構成表面は、カルコゲナイドハイドライドガスに曝されて、硫黄吸着の際、図4(a)(平面図)および図4(b)(断面図)に示すように、(2×1)構造が形成される。
より詳細には、カルコゲナイド・パッシベーション表面(即ち、カルコゲナイドGaAs表面)は、(2×1)対称性を示す。第2温度(T)は、これらの実施形態/態様において、350℃〜400℃、好ましくは350℃〜380℃の範囲である。
本発明の状況では、理論によって束縛されることなく、一般に好ましくは、本発明の方法のステップ(d)で得られるカルコゲナイド・パッシベーション表面(即ち、カルコゲナイド第2のIII−V化合物表面)は、(2×1)対称性を示す。
本開示の異なる実施形態/態様において、カルコゲナイド・ハイドライドガスは、HS,HSe,HTeおよびこれらの組合せを含む。好ましい態様において、カルコゲナイド・ハイドライドガスは、HS,HSe,HTeおよびこれらの任意の組合せまたは混合物からなるグループから選択される。好ましくは、カルコゲナイド・ハイドライドは、硫化水素(HS)を含む。
カルコゲナイド・ハイドライドガスの制御したフローは、ガス入り口を通じて供給される。前処理チャンバの圧力およびカルコゲナイド・ハイドライドガスへ露出時間は、両方とも制御され、例えば、生成物が約3.5×10 Lと同等になるようにする。ここで、1L(ラングミュア)は、露出(投与量)の単位であり、1秒間で10−6torrの露出に対応する。特定の例では、前処理チャンバの圧力は、カルコゲナイド・ハイドライドガスの存在下で2×10−6torrで、露出時間は45分間であった。1分間〜1時間の範囲の露出時間および1×10−3torr〜1×10−6torrの範囲の圧力値の異なる組合せが使用でき、より短い露出時間が製造にとってより好都合である。
図4(c)は、Gaリッチ表面上にあるS−Gaダイマー(dimer)の形成とともに、硫黄吸着の背後にある可能な機構を詳細に示す。この根拠により、HS処理の結果として、硫黄原子は専らガリウム原子と結合する。S−GaAs(2×1)表面についての(2×1)対称性は、S−Gaダイマーの列アライメントによって説明でき、(2×1)表面の周期性が得られる。このモデルは、HS露出に用いられた温度ではS−Ga結合だけが形成されることを示唆している。
一般化すると、理論によって束縛されることなく、カルコゲナイド原子吸着の背後にある機構が、III族元素リッチ表面でのカルコゲナイド−III族元素ダイマーの形成を経由すると考えられる。
また、カルコゲナイド・ハイドライドガス処理の結果、カルコゲナイド原子は専らIII族元素原子と結合すると考えられる。
好都合には、 カルコゲナイド(例えば、硫黄)パッシベーション表面は、規則正しく整列し、熱力学的に安定であり、電子計数(electron counting)の観点から中性である。従って、 カルコゲナイド(例えば、硫黄)パッシベーション表面は、低い欠陥密度界面として機能し、その上に高誘電率(high-κ)誘電体が直接に堆積可能である。さらに、(2×1)表面再構成は、カルコゲナイド・ハイドライドガス(例えば、HS)フローが中断した後も安定である。
さらに、III族元素リッチ表面の上にカルコゲナイド(例えばS)原子を吸着して、カルコゲナイドパッシベーション表面を形成する際、基板は、好ましくは真空破壊なしで誘電体堆積チャンバへ移送される。
より好ましくは、基板は、高真空条件で10−5torr未満の圧力で誘電体堆積チャンバへ移送される。
好都合には、III−V化合物表面上でのカルコゲナイド(例えばS,Se,Te)原子の制御した吸着は、続く高誘電率(high-κ)誘電体の堆積の際、III−V再構成表面(III族元素リッチ)のタイプを保存し、半導体の酸化を回避する。
本開示の異なる実施形態/態様は、カルコゲナイドパッシベーション表面の上に堆積した高誘電率(high-κ)誘電体を開示する。基板は、UHV前処理チャンバから誘電体堆積チャンバへ真空破壊なしで移送される。高誘電率(high-κ)誘電体材料は、原子層堆積法(ALD)、有機金属化学気相成長法(MOCVD)、分子ビーム堆積法(MBD)または薄い誘電体膜を形成するのに適した任意の手法によって堆積可能である。堆積温度は、50℃〜350℃の範囲で変化し得る。
図5は、本開示の方法を実施するのに適したクラスターツールを概略的に示すもので、III−V堆積/成長チャンバ(I)、前処理UHVチャンバ(II)、誘電体堆積チャンバ(III)、管理環境を備えた移送モジュール(TM)、ロードモジュール(LM)を備える。
異なる実施形態/態様は、第2のIII−V化合物が、本説明の他の箇所で定義するように狭いバンドギャップ(NBG)を有するように選択されていることを開示する。
本開示の特定の実施形態において、狭いバンドギャップを有する第2のIII−V化合物は、InGaAs(0<x,y<1、x+y=1)である。これは、InP(001)と格子整合するという追加の利点を有し、InP(001)が、中間層の下地となる第1のIII−V化合物にとって適切な選択肢になる。
第2のIII−V化合物がInGaAsである実施形態/態様において、第1温度(UHV前処理チャンバの)は、好ましくは約450℃である。
本開示の幾つかの実施形態/態様において、III族元素リッチ表面をカルコゲナイド・ハイドライドを含む環境に曝すステップは、プラズマ支援されている。プラズマ支援処理の際、RFパワーおよびカルコゲナイド・ハイドライドのフロー/圧力は、カルコゲナイド吸着を促進しつつ表面損傷を回避するように変化できる。
プラズマ処理が施される実施形態では、第2温度は、300℃より低くできる。より好ましくは、第2温度は、室温(15℃〜30℃)にできる。
特定の例では、プラズマ処理がIn0.53Ga0.47Asを含む中間層に対して室温で施される。プラズマ支援処理と低温の組合せは、100℃より高い温度で発生し得る、界面での不要な自然酸化物形成を回避するという追加の利点を有する。
本開示の幾つかの実施形態/態様において、少なくとも1つの中間層を形成するステップ(b)は、
・露出領域を覆い、これと接触する第1中間層(3i)を形成するステップと、
・第1中間層を覆い、これと接触する第2中間層(3ii)を形成するステップと、
・第2中間層を覆い、これと接触する第3中間層(3iii)を形成するステップと、
・第3中間層を覆い、これと接触する第4中間層(3iv)を形成するステップとを含み、
第1中間層および第3中間層は、第3のIII−V化合物を含み、第2中間層および第4中間層は、第2のIII−V化合物を含む。
第1中間層は、好ましくは、広いバンドギャップ(LBG)を有するように選択され、好ましくは、HEMTまたはHEMT−MOSFETデバイスでのバッファ層として機能するのに適した第3のIII−V化合物を含む。
第2中間層は、好ましくは、狭いバンドギャップ(NBG)を有するように選択され、好ましくは、HEMTまたはHEMT−MOSFETデバイスでのチャネル層として機能するのに適した第2のIII−V化合物を含む。
第3中間層は、好ましくは、広いバンドギャップ(LBG)を有するように選択され、好ましくは、HEMTまたはHEMT−MOSFETデバイスでのバリア層として機能するのに適した第3のIII−V化合物を含む。
第4中間層は、好ましくは、狭いバンドギャップ(NBG)を有するように選択された第2のIII−V化合物を含む。第4中間層は、好ましくは薄い層であり、好ましくはNBG材料の5つ未満の単分子層、好ましくは、NBG材料の3つ未満の単分子層と同等な厚さを有する。等価酸化膜厚(EOT)を最小にする必要がある本開示の実施形態では、厚さは、NBG材料の約1つの単分子層と同等までにさらに低減できる。
幾つかの中間層が堆積される実施形態/態様において、表面再構成を受けて、III族元素リッチ表面を形成するのは、最後(最上層)の中間層である。続いて、カルコゲナイド・ハイドライドガスへの露出後、カルコゲナイド・パッシベーション表面がIII族元素リッチ表面の上に形成される。
本開示の幾つかの実施形態/態様において、第2のIII−V化合物は、InGaAs(0<x,y<1、(x+y)=1)を含み、第3のIII−V化合物は、InAlAsおよびAlGaAs(0<x,y<1、(x+y)=1)または類似の特性を備えた他のIII−V化合物からなるグループから選択される。
第2のIII−V化合物が、少量のIn(x<0.4)を有するInGaAsを含む本開示の特定の実施形態/態様では、第3のIII−V化合物は、AlGaAsを含み、第1のIII−V化合物(基板の一部)は、GaAsを含む。
第2のIII−V化合物が、Inリッチ(x≧0.4)のInGaAsを含む本開示の特定の実施形態/態様では、第3のIII−V化合物は、InAlAsを含み、第1のIII−V化合物(基板の一部)は、InPを含む。
本開示の特定の実施形態/態様は、高誘電率(high-κ)誘電体を含む誘電体層を形成し、カルコゲナイド・パッシベーション表面を覆うことを開示する。カルコゲナイド・パッシベーション表面は、全ての高誘電率(high-κ)材料と適合でき、使用する集積化プロセスに対して非限定の選択肢を残す。
高誘電率(high-κ)誘電体の幾つかの非限定的な例は、Al,HfO,DyScOまたはこれらの組合せである。本発明の好ましい態様によれば、高誘電率(high-κ)誘電体は、Alを含むように選択される。
本開示の方法は、高誘電率(high-κ)誘電体層を形成した後、堆積後(post-deposition)アニールを実施することをさらに含んでもよい。
特定の実施形態/態様において、堆積後アニールは、N中で約600℃で実施される。代替の実施形態では、Nは、いずれかの他の不活性ガス、例えば、希ガスで置換できる。
本開示の方法は、好都合には、650℃未満、好ましくは600℃未満の温度での後処理の際、安定(界面劣化が観測されない)であるカルコゲナイド・パッシベーション表面をもたらす。
本開示の他の態様において、上述した方法の使用は、電子デバイス、例えば、MOSFETデバイスまたはHEMT−MOSFETデバイスを生産するために開示されている。
本開示の他の態様では、カルコゲナイド・パッシベーション界面を備えた電子デバイスが開示されており、
・第1のIII−V化合物を含む露出領域(2)を含む基板(1)と、
・その上に、第2のIII−V化合物を含む少なくとも1つの中間層(3)と、
・少なくとも1つの中間層(3)を覆う高誘電率(high-κ)誘電体層(5)とを備え、
第2のIII−V化合物と高誘電率(high-κ)誘電体層の間の界面には、カルコゲナイド・パッシベーション層(4)が形成され、カルコゲナイド元素−III族元素の結合で本質的に構成される。
図6(a)は、本開示のパッシベーション界面を備えたMOSキャパシタ構造を概略的に示す。基板(1)は、第1のIII−V化合物を含む露出領域(2)を含む。第2のIII−V化合物を含む中間層(3)は、露出領域(2)を覆う。第2のIII−V化合物と高誘電率(high-κ)誘電体層(5)との間の界面には、カルコゲナイド・パッシベーション(表面)層(4)が形成される。
本開示の他の実施形態において、図6(b)に概略的に示すように、1つより多い中間層が用いられる。電子デバイスは、
・露出領域を覆い、これと接触する第1中間層(3i)と、
・第1中間層を覆い、これと接触する第2中間層(3ii)と、
・第2中間層を覆い、これと接触する第3中間層(3iii)と、
・第3中間層を覆い、これと接触する第4中間層(3iv)とを備え、
第1中間層および第3中間層は、第3のIII−V化合物を含み、第2中間層および第4中間層は、第2のIII−V化合物を含む。
さらに、本開示の異なる実施形態/態様において、第2のIII−V化合物は、例えば、HEMTまたはHEMT−MOSFETデバイスでのチャネル層を形成するのに適した、狭いバンドギャップを有するように選択される。
さらに、本開示の異なる実施形態/態様において、第3のIII−V化合物は、例えば、HEMTまたはHEMT−MOSFETデバイスでのバリア層またはバッファ層を形成するのに適した、広いバンドギャップ(LBG)を有するように選択される。
カルコゲナイド・パッシベーション層(表面)は、せいぜい1単分子層の厚さであり、カルコゲナイド元素−III族元素の結合(例えば、S−Ga)で本質的に構成される。カルコゲナイド・パッシベーション層は、ここで規定される誘電体スタックの等価酸化膜厚(EOT)に対して実際には無視できる寄与分しかなく、低いEOTを持つ小型化したデバイスの製造に適している。
(実施例)
分子線エピタキシー・マルチチャンバ・クラスターシステムを用いて、Al/S−GaAs(001)ヘテロ構造の成長を行った。異なるチャンバは、共通の移送ユニットと連結されていた。チャンバおよび移送ユニットは、10−11torrの基礎圧力でUHV下に維持されていた。基礎(バックグラウンド)圧力とは、何れかのガスを供給する以前での処理チャンバ内の圧力である。
中間GaAs層は、p型(001)配向GaAs基板の上に成長した。GaAs基板は、最初に、Asリッチ条件下で約2×10−5torrの圧力で580℃まで加熱して、自然酸化物を除去した。中間GaAs層は、約0.3μmの厚さを有し、Beを用いてドープし、約5×1016cm−3の濃度とした。中間GaAs層は、580℃、約0.5ML.s−1の成長レート、Asリッチ条件下で約1.8×10−5torrの圧力で成長した。成長条件の結果として、中間GaAs層は、(2×4)表面再構成を示す。
成長ステップの最後に、基板温度をAs環境で冷却し、約350℃で安定した。冷却の際、GaAs(001)−c(4×4)の再構成されたヒ素リッチ表面が形成された。
成長ステップに続いて、GaAs(001)基板を、UHV下でUHV前処理(パッシベーション)チャンバへ移送した。サンプルは、580℃で5分間加熱してGa−安定化(4×6)表面再構成を形成し、その後、350℃に冷却した。この温度で、表面を、2x10−6torrの圧力で30分間、HS分子フローに露出した。HS露出の結果として、(2×1)表面再構成が形成される。
最後に、サンプルは、高真空下で誘電体堆積チャンバへ移送し、高誘電率(high-k)誘電体堆積を分子ビーム堆積によって行った。代替の堆積手法、例えば、原子層堆積法(ALD)、有機金属化学気相成長法(MOCVD)なども使用できる。特定の実施例で堆積した高誘電率(high-κ)誘電体は、Alであった。Al薄膜は、二重フィラメント・クヌーセン(Knudsen)セルから蒸発したアルミニウムと、オックスフォード・プラズマ源からの圧力p(Oatomic)〜3x10−6torrの酸素原子流との共堆積(co-deposition)によって形成した。堆積の際、アモルファス層を得るために、基板温度は約250℃であった。
さらに、上部電極(図6(a)の符号6)を高誘電率(high-k)誘電体層の上に堆積することによって、MOSキャパシタを形成した。上部電極は、50nm厚さのNi層からなる。上部電極は、シャドーマスクを通じて外部(ex-situ)堆積した。裏面オーミックコンタクトは、30nmのAuおよび70nmのAuZnの複合スタックを用いて形成した。金属堆積の前に、60分間、550℃、窒素分子環境でサンプルをアニールした。
全てのMBE処理ステップの際、RHEED解析(図7に示す)を用いて原子表面再構成をリアルタイムで監視した。GaAs(Be)中間層の成長後、表面は、図7に示すように、清浄なAsリッチc(4×4)表面再構成を示している。
異なる[V]/[III]表面化学量論を持つGaAs表面は、UHV下で基板をゆっくり加熱し、ヒ素原子の脱離(desorption)をもたらすことによって達成できる。パッシベーションチャンバでの基板温度を高くすることによって、RHEEDパターンは、図7に示すように、初期のAsリッチc(4×4)表面から440℃での(2×4)再構成に、続いて、520℃でのGaリッチ(3×6)に、最後に580℃での(4×6)表面再構成へと変化する。(4×6)表面再構成は、ガリウム原子によって大部分が終了する。表面損傷を回避するために、高い温度(580℃)は数分間だけ維持される。
高温処理に続いて、温度は350℃に冷却する。RHEED調査は、図7に示すように、350℃程度の低い温度で(4×6)表面周期性が維持されることを確認した。こうしてGaリッチ表面の高い品質および安定性が確認された。
350℃での硫化水素による硫化は、図7に示すように、(4×6)から(2×1)への表面周期性の変化をもたらす。HS処理の結果として、硫黄原子が専らガリウム原子と結合する。S−GaAs(2×1)パッシベーション表面についての(2×1)対称性は、S−Gaダイマーが列状に整列するモデルによって説明でき、(2×1)表面周期性をもたらす。硫黄処理/露出の比較的高い温度は、全てのS−As結合を表面から実質的に除去し、S−Ga結合だけを残す。本開示の方法で得られる硫黄パッシベーション表面は、規則正しく整列し、熱力学的に安定であり、電子計数(electron counting)の観点から中性である。さらに、(2×1)S−GaAs(001)パッシベーション表面は、HS分子フローが中断した後も安定である。これらの全ての特性により、本開示の硫黄パッシベーション表面S−GaAs(001)は、極めて有望な低欠陥密度の界面となり、高誘電率(high-k)酸化物堆積との組合せに適したものになる。
高誘電率(high-k)誘電体/III−V界面のパッシベーション効率は、電気的特性、特に、キャパシタンス−電圧(C−V)およびコンダクタンス−電圧(G−V)の測定によって評価した。
界面準位密度を推測するC−V特徴付け方法は、Si MOS構造について上手くいくが、Siに比べてGaAsの広いバンドギャップのため、GaAs MOS構造については事情が全く異なる。通常用いる測定条件(室温、100Hz〜1MHzの周波数)では、界面トラップ状態は、GaAsバンドギャップの極く小さな部分で測定されるだけである。このことは、極めて重要な中間バンド領域が、室温で適切に探査されないことを意味する。
この問題を解決するため、GaAs MOS構造について追加のC−V測定を150℃で行った。この場合、文献(MOS (Metal Oxide Semiconductor) Physics and Technology, p. 286, Wiley, New York (1981))に記載されたように、NicollianおよびBrewsからのコンダクタンス方法に基づいて、一貫した中間ギャップ界面準位密度Ditの抽出を行うことができる。
図8(a)と図8(b)は、100Hz〜1MHzの周波数範囲で25℃で測定した室温C−Vカーブを示し、それぞれHS表面処理なしのキャパシタおよび、Al酸化物堆積の前にHS表面処理したキャパシタに対応する。
図9(a)と図9(b)は、100Hz〜1MHzの周波数範囲で150℃で測定した高温C−Vカーブを示し、それぞれHS表面処理なしのキャパシタおよび、Al酸化物堆積の前にHS表面処理したキャパシタに対応する。
両方のMOSヘテロ構造について25℃および150℃で測定した、9nm厚のAl誘電体を通る漏れ電流密度(J−V)は、比較的低く、−1Vで約10−9A/cm−2のJを示している。これらの予備的な電気的結果は、更なるC−V解析および界面準位密度(Dit)の計算を確実にするものであり、これらは、極めて低い漏れ電流を示すデバイスについてのみ行える。
各ケースにおいて、室温測定(図8(a)と(b))が、蓄積(accumulation)および空乏(depletion)において低い周波数分散を伴う、許容できるC−V挙動を与える。両方のカーブ上で残りの周波数分散は、界面準位には起因していないが、III−V基板にあるバルク欠陥の結果である。
それでも、GaAs/Alヘテロ構造が、許容できる室温C−V形状を与える場合であっても、高温C−V測定はこの界面の真の性質を示している。それは、図9(a)に示すように、低い周波数で空乏での大きな隆起を示している。こうした挙動は、多量の界面準位によって発生し、中間ギャップエネルギー領域でのフェルミ準位ピンニングを証明するものである。
一方、S−GaAs−(2×1)/Al MOS構造における硫黄パッシベーション界面は、室温および高温で特徴付けられ、図8(b)と図9(b)に示すように、蓄積および空乏において低い周波数分散を伴う極めて良好なC−Vプロファイルを示す。
硫黄パッシベーション界面では、高温C−Vカーブが、中間ギャップDitスペクトルを探査するために用いられ、空乏においてより低い周波数で、ごく低い特徴を示すだけである。さらに、C−Vの初期形状は保存され、特性において、伸張したり平坦バンドの電圧依存性は観察されない。この解析から、本開示の硫黄パッシベーション方法は実質的に界面の欠陥低減をもたらすと結論付けることが有意義である。
分子線エピタキシークラスター生産システムを用いた、Al/S−GaAs(001)ヘテロ構造の成長を含む追加の実験を行った。
p型およびn型の(001)配向GaAsエピレディー(Epi Ready)基板の上にGaAs層を成長した。〜0.3μm−GaAsバッファ層[5×1016cm−3のBeまたはSiドープ]を580℃、0.5ML.s−1の成長レートで成長した。次に、ヒ素圧力下で基板温度を下げて、350℃未満で停止し、GaAs(001)−c(4×4)再構成のヒ素リッチ表面を得た。
バッファ層の成長の終わりに、GaAs(001)基板をパッシベーションチャンバへ移送した。サンプルをUHV下で580℃に5分間加熱して、Ga安定化(4×6)表面再構成を形成し、350℃に冷却した。この温度において、表面を2×10−6Torrの圧力で30分間、HSに露出して、(2×1)表面再構成を得た。
最後に、サンプルを高誘電率(high-κ)チャンバへ案内し、高誘電率材料の分子ビーム堆積を行った。Al薄膜は、全体圧力3×10−6Torrで、アルミニウムと酸素プラズマからの酸素との共堆積によって合成した。堆積の際、アモルファス層を得るために、基板温度は約250℃であった。MOSキャパシタは、シャドウマスクを通じて150nm Ni金属ドットを用いて作製した。裏面オーミックコンタクトは、30nmのAuおよび70nmのAuZnの複合スタックを用いて形成した。金属堆積の前に、60分間、550℃、窒素分子環境でサンプルをアニールした。
異なるMBE処理ステップの際、RHEED解析を用いて原子表面再構成をリアルタイムで監視した。パッシベーション(UHV)チャンバにおいて、基板温度を580℃に高くすることによって、RHEEDパターンは、Asの蒸発に起因して、初期のAsリッチc(4×4)の0.3μm厚GaAsバッファ層から、Gaリッチ(4×6)表面再構成へ徐々に変化する。
350℃での硫化水素による硫化は、(4×6)から(2×1)への表面周期性の変化をもたらす。硫黄原子が、初期の(4×6)再構成からのガリウム原子と専ら結合する。S−GaAs(2×1)表面についての(2×1)対称性は、S−Gaダイマーが列状に整列するものとしてモデル化される。この硫黄表面再構成は、規則正しく整列し、熱力学的に安定であり、電気的に中性である。
2nm厚のAl/S−GaAs(001)−(2×1)構造の化学的性質についての調査を、XPSによって、表面の法線に対して21.875°の回折角で行った。デコンボリューション(deconvolution)は、硫黄の存在を明らかにする3つの分離したピークを必要とする。S濃度は、1つ未満の単分子層と推定された。角度分解XPSは、硫黄が、半導体表面とAl層との間の界面に正しく配置されていることを示す。これらの測定はまた、ガリウムまたはヒ素の亜酸化物なしで、Asと結合したGaが存在する1つの化学的構成だけがあることを示している。
図10は、p型基板およびn型基板上の2つの9nm厚Al/GaAs MOSキャパシタについて、可変周波数(100Hz〜1MHz)で暗所でのC−V測定を示すもので、図10(a)は、HS表面処理なしのキャパシタ、図10(b)は、その場(in-situ)HS表面処理したキャパシタである。この図において、室温(25℃)測定(図10(i)〜図10(iv))は、ほぼ同じで行儀のよいCV特性、即ち、明瞭な蓄積および空乏の挙動を示し、p型キャパシタの場合には弱い周波数分散、n型MOSCAPでは大きな分散を示している。
しかし、標準的な条件(25℃、100Hz〜1MHzの周波数範囲)では、大きなエネルギーバンドギャップおよび伝導帯および価電子帯の低い有効状態密度に起因して、GaAsバンドギャップ全体の小さな領域だけが探査される。
その結果、追加のC−V測定をより高い温度(150℃)で行って、GaAs中間ギャップ領域近くのDitを抽出する(図10(ii)と図10(iii))。サンプル(a)では、大きなトラップ誘起キャパシタンス応答が、423Kで、n型ドープ基板およびp型ドープ基板の両方でより低い周波数について空乏において見えている。一方、HSパッシベーションのサンプル(b)では、優れたC−V特性を高い温度で示し、空乏において無視できる程度のトラップ誘起キャパシタンス応答を示しており、伸張したり周波数分散が見られない。
さらに、準静的C−V測定(QSCV)を25℃で暗所で行った(図10(i)と図10(iv)の点線)。未処理サンプル(a)は、極めて低い周波数で「反転類似」の応答を示しているが、HS処理サンプル(b)は、反転でのキャパシタンス応答を示していない。しかし、GaAsの約10cm−3という極めて低い真性キャリア濃度(n)は、GaAs MOSキャパシタの長い少数キャリア応答時間τをもたらす。
その結果、GaAs MOSキャパシタでの反転キャリアの観測が準静的条件では不可能である。これは、サンプル(a)のQSCVでの「反転類似」の応答は、実際、大きなトラップ誘起キャパシタンス応答の存在に起因していることを示しており、これはHSパッシベーションGaAs表面についてのケースではない。
文献(Martens et al.,"IEEE Transactions on Electron Devices 55 (2), 547 (2008)")に記載されたコンダクタンス法に基づいた、高い界面準位密度Ditの計算を用いて、界面品質が評価される。
図11は、両方のサンプルについて、価電子帯の最小値(E)を超えたエネルギーの関数としてDit分布をまとめている。サンプル(a)のDitカーブ(−○−)は、中間ギャップ領域での界面準位密度の立ち上がり(1.5×1013eV−1cm−2の値)を伴う典型的なGaAs MOSキャパシタスペクトルを示す。一方、サンプル(b)では、Ditスペクトル全体(−□−)は1011eV−1cm−2の高めに位置しており、中間ギャップにおいて2×1012eV−1cm−2のDit maxを示している。この解析は、その場(in-situ)HS処理した表面では中間ギャップでDitの1桁減少を示しており、GaAs(001)の有効なパッシベーションを確認できた。

Claims (18)

  1. 誘電体材料とIII−V化合物との間にパッシベーション界面を製造する方法であって、
    (a)第1のIII−V化合物を含む露出領域を含む基板を用意するステップと、
    (b)その上に、第2のIII−V化合物を含む少なくとも1つの中間層を形成するステップと、
    (c)その後、超高真空中で基板に熱処理を施し、第1温度(T)に到達する際、第2のIII−V化合物の表面再構成が生じて、III族元素リッチの表面を形成するようにしたステップと、
    (d)III族元素リッチ表面を含む基板を第2温度(T)にして、III族元素リッチ表面をカルコゲナイドハイドライドガスを含む環境に曝して、カルコゲナイド・パッシベーション表面を形成するステップと、
    (e)カルコゲナイド・パッシベーション表面の上に誘電体層を形成し、誘電体層と第2のIII−V化合物との間にパッシベーション界面を形成するステップと、を含む方法。
  2. ステップ(b)は、超高真空下で実施し、
    ステップ(b)〜ステップ(e)において真空破壊を行わないようにした請求項1記載の方法。
  3. 第1のIII−V化合物または第2のIII−V化合物のいずれかは、Asを含むIII−V化合物およびこれらの任意の組合せまたは混合物からなるグループから選択され、好ましくは、GaAs,InGaAs,InAs,InAlAs,AlGaAs,GaAsSb,InAlAsSb,InAlAsP,InGaAsPおよびこれらの任意の組合せまたは混合物からなるグループから選択され、より好ましくは、GaAs,InGaAs,InAsおよびこれらの任意の組合せまたは混合物からなるグループから選択され、さらにより好ましくは、第1のIII−V化合物または第2のIII−V化合物のいずれかは、GaAsを含むように選択される、請求項1または2記載の方法。
  4. 第1のIII−V化合物および第2のIII−V化合物は、同じ化学組成を有する請求項1〜3のいずれかに記載の方法。
  5. 第1温度(T)は、400℃〜600℃である請求項1〜4のいずれかに記載の方法。
  6. 第2温度(T)は、15℃〜400℃である請求項1〜5のいずれかに記載の方法。
  7. ステップ(c)で得られるIII族元素リッチ表面は、[110]アジマスに沿った(×4)対称性を持つ表面再構成を示す請求項1〜6のいずれかに記載の方法。
  8. ステップ(d)で得られるカルコゲナイド・パッシベーション表面は、(2×1)対称性を示す請求項1〜7のいずれかに記載の方法。。
  9. 第2のIII−V化合物は、GaAs、好ましくはGaAs(001)を含み、
    第1温度(T)は、580℃〜600℃、好ましくは580℃〜595℃、より好ましくは585℃〜595℃、さらにより好ましくは585℃〜590℃であり、
    第2温度(T)は、350℃〜400℃、好ましくは350℃〜380℃、より好ましくは360℃〜380℃である、請求項1〜8のいずれかに記載の方法。
  10. III族元素リッチ表面をカルコゲナイドハイドライドを含む環境に曝すステップは、プラズマ支援されており、第2温度(T)は、室温である、請求項1〜9のいずれかに記載の方法。
  11. カルコゲナイドハイドライドガスは、HS,HSe,HTeおよびこれらの任意の組合せまたは混合物からなるグループから選択され、より好ましくは、カルコゲナイドハイドライドは、硫化水素(HS)を含む、請求項1〜10のいずれかに記載の方法。
  12. 誘電体層は、Al,HfO,DyScOおよびこれらの任意の組合せまたは混合物からなるグループから好ましく選択される高誘電率(high-κ)誘電体を含み、より好ましくは、誘電体層は、Alを含むように選択される、請求項1〜11のいずれかに記載の方法。
  13. ステップ(b)は、
    ・露出領域を覆い、これと接触する第1中間層を形成するステップと、
    ・第1中間層を覆い、これと接触する第2中間層を形成するステップと、
    ・第2中間層を覆い、これと接触する第3中間層を形成するステップと、
    ・第3中間層を覆い、これと接触する第4中間層を形成するステップとを含み、
    第1中間層および第3中間層は、広いバンドギャップを有するように選択された第3のIII−V化合物を含み、第2中間層および第4中間層は、狭いバンドギャップを有するように選択された第2のIII−V化合物を含む、請求項1〜12のいずれかに記載の方法。
  14. 誘電体層を形成するステップの後、堆積後アニールを実施することをさらに含む、請求項1〜13のいずれかに記載の方法。
  15. 堆積後アニールは、不活性環境で実施される、請求項14記載の方法。
  16. 誘電体材料とIII−V化合物との間にパッシベーション界面を有する電子デバイスであって、
    ・第1のIII−V化合物を含む露出領域を含む基板と、
    ・その上に位置し、第2のIII−V化合物を含む少なくとも1つの中間層と、
    ・第2のIII−V化合物を含む少なくとも1つの中間層を覆う誘電体層と、
    ・第2のIII−V化合物と誘電体との界面に位置し、本質的にカルコゲナイド原子−III族元素原子結合から成るカルコゲナイド・パッシベーション層と、を備えるデバイス。
  17. 少なくとも1つの中間層は、
    ・露出領域を覆い、これと接触する第1中間層と、
    ・第1中間層を覆い、これと接触する第2中間層と、
    ・第2中間層を覆い、これと接触する第3中間層と、
    ・第3中間層を覆い、これと接触する第4中間層とを備え、
    第1中間層および第3中間層は、広いバンドギャップを有するように選択された第3のIII−V化合物を含み、第2中間層および第4中間層は、狭いバンドギャップを有するように選択された第2のIII−V化合物を含む、請求項16記載のデバイス。
  18. 電子デバイス、好ましくは、MOSFETデバイスまたはHEMTデバイスの製造のために、請求項1〜15のいずれかに記載の方法の使用。
JP2010224199A 2009-10-02 2010-10-01 誘電体とiii/v化合物との間の低欠陥界面の製造方法 Pending JP2011091394A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US24831009P 2009-10-02 2009-10-02

Publications (2)

Publication Number Publication Date
JP2011091394A true JP2011091394A (ja) 2011-05-06
JP2011091394A5 JP2011091394A5 (ja) 2013-06-27

Family

ID=43332656

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010224199A Pending JP2011091394A (ja) 2009-10-02 2010-10-01 誘電体とiii/v化合物との間の低欠陥界面の製造方法

Country Status (3)

Country Link
US (2) US8314017B2 (ja)
EP (1) EP2306497B1 (ja)
JP (1) JP2011091394A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140014008A (ko) * 2012-07-27 2014-02-05 에이에스엠 아이피 홀딩 비.브이. 반도체 표면의 기상 황 패시베이션을 위한 시스템 및 방법
WO2016170988A1 (ja) * 2015-04-20 2016-10-27 東京エレクトロン株式会社 パッシベーション処理方法、半導体構造の形成方法及び半導体構造
KR20180080116A (ko) * 2017-01-03 2018-07-11 에이에스엠 아이피 홀딩 비.브이. 반도체 표면의 기상 패시베이션을 위한 시스템 및 방법
JP2019515490A (ja) * 2016-04-20 2019-06-06 トルンプフ フォトニクス インコーポレイテッドTrumpf Photonics Inc. レーザ切子面のパッシベーションおよび当該パッシベーションを実施するためのシステム
WO2020236412A1 (en) * 2019-05-21 2020-11-26 Applied Materials, Inc. Phosphorus fugitive emission control

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013004545A (ja) 2011-06-10 2013-01-07 Sumitomo Chemical Co Ltd 半導体基板の製造方法および半導体基板
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9911676B2 (en) * 2012-07-27 2018-03-06 Asm Ip Holding B.V. System and method for gas-phase passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8803158B1 (en) * 2013-02-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2854158B1 (en) * 2013-09-27 2019-07-10 IMEC vzw Layer deposition on III-V semiconductors
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9123569B1 (en) 2014-03-06 2015-09-01 International Business Machines Corporation Complementary metal-oxide-semiconductor structure with III-V and silicon germanium transistors on insulator
US9355920B2 (en) 2014-03-10 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices and FinFET devices, and FinFET devices
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9478409B2 (en) * 2014-05-26 2016-10-25 Infineon Technologies Ag Method for coating a workpiece
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9716225B2 (en) 2014-09-03 2017-07-25 Micron Technology, Inc. Memory cells including dielectric materials, memory devices including the memory cells, and methods of forming same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10283349B2 (en) * 2016-05-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Single-crystal rare earth oxide grown on III-V compound
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9953839B2 (en) * 2016-08-18 2018-04-24 International Business Machines Corporation Gate-stack structure with a diffusion barrier material
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US9984949B1 (en) 2017-01-12 2018-05-29 International Business Machines Corporation Surface passivation having reduced interface defect density
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10541129B2 (en) * 2017-08-07 2020-01-21 International Business Machines Corporation Indium gallium arsenide surface passivation by sulfur vapor treatment
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11133408B2 (en) * 2019-08-06 2021-09-28 Iqe Plc Dielectric passivation for layered structures
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS648613A (en) * 1987-06-18 1989-01-12 Ibm Construction of compound semiconductor
JPH0194662A (ja) * 1987-10-06 1989-04-13 Nec Corp 砒化ガリウムを用いたmis型半導体装置の製造方法
JPH01211976A (ja) * 1988-02-18 1989-08-25 Nec Corp ヒ化ガリウムを用いたmis型半導体装置の製造方法
JPH04127542A (ja) * 1990-09-19 1992-04-28 Hitachi Ltd 半導体装置
JPH04130721A (ja) * 1990-09-21 1992-05-01 Nippon Telegr & Teleph Corp <Ntt> 半導体表面処理方法
JP2004507888A (ja) * 2000-08-11 2004-03-11 ブラドック, ウォルター, デビッド, Iv 金属硫化物半導体トランジスタ素子
JP2007281453A (ja) * 2006-03-17 2007-10-25 Sumitomo Chemical Co Ltd 半導体電界効果トランジスタ及びその製造方法
WO2009119102A1 (ja) * 2008-03-26 2009-10-01 国立大学法人東京大学 半導体基板、半導体基板の製造方法および半導体装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3298161B2 (ja) * 1991-10-29 2002-07-02 ソニー株式会社 ドライエッチング方法
WO1997048499A1 (en) 1996-06-19 1997-12-24 The Board Of Trustees Of Southern Illinois University GaAs SUBSTRATE WITH A PASSIVATING EPITAXIAL GALLIUM SULFIDE FILM AND METHODS FOR FORMING SAME
US6159834A (en) 1998-02-12 2000-12-12 Motorola, Inc. Method of forming a gate quality oxide-compound semiconductor structure
US7485503B2 (en) * 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US20080003752A1 (en) * 2006-06-30 2008-01-03 Metz Matthew V Gate dielectric materials for group III-V enhancement mode transistors

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS648613A (en) * 1987-06-18 1989-01-12 Ibm Construction of compound semiconductor
JPH0194662A (ja) * 1987-10-06 1989-04-13 Nec Corp 砒化ガリウムを用いたmis型半導体装置の製造方法
JPH01211976A (ja) * 1988-02-18 1989-08-25 Nec Corp ヒ化ガリウムを用いたmis型半導体装置の製造方法
JPH04127542A (ja) * 1990-09-19 1992-04-28 Hitachi Ltd 半導体装置
JPH04130721A (ja) * 1990-09-21 1992-05-01 Nippon Telegr & Teleph Corp <Ntt> 半導体表面処理方法
JP2004507888A (ja) * 2000-08-11 2004-03-11 ブラドック, ウォルター, デビッド, Iv 金属硫化物半導体トランジスタ素子
JP2007281453A (ja) * 2006-03-17 2007-10-25 Sumitomo Chemical Co Ltd 半導体電界効果トランジスタ及びその製造方法
WO2009119102A1 (ja) * 2008-03-26 2009-10-01 国立大学法人東京大学 半導体基板、半導体基板の製造方法および半導体装置
JP2009260325A (ja) * 2008-03-26 2009-11-05 Univ Of Tokyo 半導体基板、半導体基板の製造方法および半導体装置

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JPN7013003585; Chung, C.-H., et al.: '"Dissociative and selective adsorption of H2S on the GaAs(001)-(4×2) surface"' Applied Physics Letters Vol. 69, No. 22, 19961125, pp. 3369-3371 *
JPN7013003586; Hidenori Kawanishi, et al.: '"Adsorption and desorption of sulfur on a GaAs (001) surface by H2S exposure and heat treatment"' J. Vac. Sci. Technol. B Vol. 9, No. 3, 199105, pp. 1535-1539 *
JPN7013003587; J. S. Herman, et al.: '"Hydrogen sulfide plasma passivation of gallium arsenide"' Appl. Phys. Lett. Vol. 60, No. 6, 19920210, pp. 716, 717 *

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140014008A (ko) * 2012-07-27 2014-02-05 에이에스엠 아이피 홀딩 비.브이. 반도체 표면의 기상 황 패시베이션을 위한 시스템 및 방법
KR102075805B1 (ko) * 2012-07-27 2020-02-10 에이에스엠 아이피 홀딩 비.브이. 반도체 표면의 기상 황 패시베이션을 위한 시스템 및 방법
WO2016170988A1 (ja) * 2015-04-20 2016-10-27 東京エレクトロン株式会社 パッシベーション処理方法、半導体構造の形成方法及び半導体構造
JP2019515490A (ja) * 2016-04-20 2019-06-06 トルンプフ フォトニクス インコーポレイテッドTrumpf Photonics Inc. レーザ切子面のパッシベーションおよび当該パッシベーションを実施するためのシステム
KR20180080116A (ko) * 2017-01-03 2018-07-11 에이에스엠 아이피 홀딩 비.브이. 반도체 표면의 기상 패시베이션을 위한 시스템 및 방법
KR102529258B1 (ko) * 2017-01-03 2023-05-04 에이에스엠 아이피 홀딩 비.브이. 반도체 표면의 기상 패시베이션을 위한 시스템 및 방법
WO2020236412A1 (en) * 2019-05-21 2020-11-26 Applied Materials, Inc. Phosphorus fugitive emission control
US11127601B2 (en) 2019-05-21 2021-09-21 Applied Materials, Inc. Phosphorus fugitive emission control
US11545368B2 (en) 2019-05-21 2023-01-03 Applied Materials, Inc. Phosphorus fugitive emission control

Also Published As

Publication number Publication date
US20110089469A1 (en) 2011-04-21
EP2306497A1 (en) 2011-04-06
US8872238B2 (en) 2014-10-28
US8314017B2 (en) 2012-11-20
US20130043508A1 (en) 2013-02-21
EP2306497B1 (en) 2012-06-06

Similar Documents

Publication Publication Date Title
US8314017B2 (en) Method for manufacturing a low defect interface between a dielectric and a III-V compound
US9805949B2 (en) High κ gate stack on III-V compound semiconductors
EP2164094B1 (en) A method for reducing fermi-level-pinning in a non-silicon channel MOS device
US9059267B1 (en) III-V device with overlapped extension regions using replacement gate
JP5599089B2 (ja) 半導体装置、半導体装置の製造方法、半導体基板、および半導体基板の製造方法
US9064946B1 (en) III-V FET device with overlapped extension regions using gate last
US10541132B2 (en) Forming semiconductor structures with two-dimensional materials
US10872973B2 (en) Semiconductor structures with two-dimensional materials
CN110957353A (zh) 半导体装置
US8859441B2 (en) Method and system for manufacturing semiconductor device
CN106024632B (zh) 带隙改性Ge PMOS器件及其制备方法
KR20130132748A (ko) 반도체 디바이스의 제작 방법
Choi et al. Annealing condition optimization and electrical characterization of amorphous LaAlO3∕ GaAs metal-oxide-semiconductor capacitors
KR102274716B1 (ko) 반도체 소자의 콘택 및 반도체 소자의 콘택 형성 방법
KR102056312B1 (ko) 고유전율 절연막이 구비된 저마늄 반도체 소자 및 이의 제조방법
TWI685970B (zh) 半導體結構
Pal et al. Electrical characterization of GaP-Silicon interface for memory and transistor applications
US10777665B2 (en) III-V and Zn based finFET structure formed using low temperature deposition techniques
KR20180020076A (ko) 반도체 장치 및 이의 제조 방법
JP2012134206A (ja) 化合物半導体装置およびその製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Effective date: 20130513

Free format text: JAPANESE INTERMEDIATE CODE: A523

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130513

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20130513

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20130529

A977 Report on retrieval

Effective date: 20130920

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Effective date: 20131001

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131224

A02 Decision of refusal

Effective date: 20140325

Free format text: JAPANESE INTERMEDIATE CODE: A02