JP2011076119A - 光近接効果補正のための多変数解法 - Google Patents

光近接効果補正のための多変数解法 Download PDF

Info

Publication number
JP2011076119A
JP2011076119A JP2011005775A JP2011005775A JP2011076119A JP 2011076119 A JP2011076119 A JP 2011076119A JP 2011005775 A JP2011005775 A JP 2011005775A JP 2011005775 A JP2011005775 A JP 2011005775A JP 2011076119 A JP2011076119 A JP 2011076119A
Authority
JP
Japan
Prior art keywords
matrix
resist image
layout
edge segments
value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011005775A
Other languages
English (en)
Other versions
JP4746719B2 (ja
Inventor
William S Wong
エス. ウォン ウィリアム
Been-Der Chen
チェン ベーン−ダー
Jiangwei Li
リ ジャンウェイ
Tatsuo Nishibe
タツオ ニシベ
Yenwen Lu
ル イェン−ウェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2011076119A publication Critical patent/JP2011076119A/ja
Application granted granted Critical
Publication of JP4746719B2 publication Critical patent/JP4746719B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】レイアウトの他のエッジセグメントの移動を考慮に入れて各エッジセグメントの補正量を決定する技術を提供する。
【解決手段】本発明の方法は、どのようにしてマスクレイアウトにおける複数のエッジセグメントの集合的な移動が該レイアウトの複数の制御点でのレジスト像の値を変更するのかを追跡するとともに、該レイアウトにおける各エッジセグメントに対する補正量を決定する。マスクレイアウトの各エッジセグメントの移動の集合的な影響を示すマルチソルバー行列が使用され、マスクレイアウトの各エッジセグメントの補正量が同時に決定される。
【選択図】図6

Description

本発明は、フォトリソグラフィマスクの超解像技術に関し、特に光近接効果補正のための多変数解法に関する。
半導体業界においてマイクロリソグラフィ(あるいは単にリソグラフィ)は、半導体ウエーハ(例えばシリコンウエーハまたはGaAsウエーハ)に回路パターンを焼き付ける処理である。現在、光リソグラフィは、半導体デバイスやフラットパネルディスプレイその他のデバイスを製造するのに多用されている支配的技術である。このリソグラフィは、可視光から深紫外までのスペクトル範囲の光を使用して基板上の感光性レジストを露光する。将来的には極紫外(EUV)や軟X線が使用されるかもしれない。露光後にレジストは現像されてレリーフ像が得られる。
光リソグラフィにおいては、フォトマスク(しばしばマスクまたはレチクルと称される)は製造されるデバイス構造の型としての役割を有しており、まず電子ビームまたはレーザビームの直描ツールを使用して作成される。典型的な光リソグラフィ用マスクは、1辺が6乃至8インチのガラス(または石英)プレートで形成される。このプレートの一方の表面は約100nmの厚さの薄い金属層(例えばクロム)に覆われている。デバイスパターンはこの金属層にエッチングされ、その明領域を通じて光が透過可能となる。金属層がエッチングされていない領域は光の透過を遮断する。このようにして、パターンが半導体ウエーハに投影される。
マスクには、所望のパターンをウエーハに生成するのに使用されるパターン及びフィーチャが含まれる。マスクの像をウエーハに投影するのに使用される装置は「ステッパ」または「スキャナ」と呼ばれる(以下ではこれらをまとめて「露光ツール」と呼ぶ)。図1は、従来の露光ツールの光投影リソグラフィシステム10を示す図である。システム10は、照明源12、照明瞳フィルタ14、レンズサブシステム16a−c、マスク18、投影瞳フィルタ20、及びマスク18の空間像が投影されるウエーハ22を含む。照明源12は例えばUV(紫外)またはDUV(深紫外)の波長で動作するレーザ源であってもよい。照明源12の光ビームは広げられ混ぜられてから照明瞳14に入射する。照明瞳14は単純な円形開口であってもよいし、オフアクシス照明用に特に設計された形状を有していてもよい。オフアクシス照明には例えば輪帯照明(すなわち照明瞳14は設計された内径及び外径を有するリングである)、四極照明(すなわち照明瞳14は瞳面の4つの象限に4つの開口を有する)、及び双極照明その他が含まれる。
照明瞳14の次に光は照明光学系(例えばレンズサブシステム16a)を通過してマスク18に入射する。マスク18は投影光学系によりウエーハ22に結像されるべき回路パターンを含む。ウエーハ22上での目標パターンサイズはどんどん小さくなりパターンフィーチャも互いにどんどん近づいてきているから、リソグラフィ処理は難しくなりつつある。投影光学系(例えばレンズサブシステム16b、16c、及び投影瞳フィルタ20)がウエーハ22にマスク18を結像させる。投影光学系の瞳20は、投影光学系を通過し得るマスクパターン空間周波数最大値を制限する。開口数またはNAと呼ばれる数はたいてい瞳20の特性を示す。
投影像でレジストが露光され更にベーク及び現像がなされると、レジストには複雑な化学的変化及び物理的変化が生じる。最終的なレジストパターンは通常クリティカルディメンジョンまたはCDによって特性が示される。CDは通常、レジストと基板との界面でのレジストフィーチャの幅で定義される。CDは通常デバイスにパターニングされる最小フィーチャを示しており、事実上CDという用語はレジストフィーチャのライン幅を表すのに用いられる。
たいていの露光ツールにおいては、光学系がマスクレベルからウエーハレベルへパターンサイズを縮小する。縮小率は通常4倍または5倍である。このため、マスクレベルでのパターンはウエーハレベルでの目標パターンよりも通常大きい。よって、マスクレベルに要求される寸法制御誤差が緩和され、マスク製造プロセスでの歩留まり及び生産性が向上される。この露光ツールの縮小率は、露光プロセスにおいて「寸法」というときに多少の混乱をもたらす。そこで本明細書では、フィーチャのサイズ及び寸法とはウエーハレベルでのフィーチャのサイズ及び寸法を指し、「最小フィーチャサイズ」はウエーハレベルでの最小フィーチャを示すものとする。
露光プロセスにおいてデバイスを正確にパターニングをするには、そのデバイスのすべての重要構造のCDが設計目標寸法を達成するようにパターニングされなければならない。あらゆる目標CDを何ら誤差なく達成するのは事実上不可能であるから、デバイスはCD誤差の許容範囲を与えて設計される。この場合、すべての重要フィーチャのCDが予め定められた許容誤差範囲に収まっていればパターンは合格であるとみなされる。製造環境によらず露光プロセスを成立させるには、工場で生じ得る通常のプロセス変動範囲を示すプロセス条件範囲の全域でCD分布の全体が許容誤差内に含まれることが必要である。
パターン転写プロセスの忠実度に制限を与えたり劣化させたりする要因には、マスク製造プロセスにおける欠陥や、投影光学系における欠陥、レジストプロセスにおける欠陥、投影光とウエーハ上に形成されたフィルム積層との間の相互作用の制御における欠陥が含まれる。しかし、マスクや光学系、レジストシステム、基板反射率制御が完璧であったとしても、結像されるフィーチャの寸法が露光ツールで使用される光の波長よりも小さくなってくると、結像忠実度を維持することが難しくなる。193nmの照明源を使用する露光プロセスでは65nm程度の小ささのフィーチャが望まれる。このような相当にサブ波長である状況においては、パターン転写プロセスはきわめて非線形となり、ウエーハレベルでの最終的なパターン寸法はマスクレベルでのパターンサイズだけでなくフィーチャの局所環境にも非常に敏感な関数となる。フィーチャの局所環境というのは、露光光波長のおよそ5乃至10倍の半径の範囲に広がる局所環境である。波長に比べて非常に小さいフィーチャサイズである場合にはマスク上で同一の構造であっても、隣接するフィーチャのサイズ及び近接度に依存してウエーハレベルでの寸法に違いが生じてしまう。直接隣接していなくても露光ツールの光学系によって定義される近接領域にあるフィーチャにも依存してしまう。この光近接効果は文献で周知である。
パターン転写プロセスにおける結像品質を向上させ高度の非線形性を最小化するために、現在のパターン転写プロセスではさまざまな超解像技術(RET)が行われている。今日用いられている最先端のRETの1つが光近接効果補正(OPC)である。OPCは光近接効果を克服する技術を指す一般用語である。最も簡単なOPCの1つは選択的バイアス(selective bias)である。あるCD−ピッチ曲線を与えたときに、すべてのピッチ値が少なくともベストフォーカス及びベスト露光量において同一のCD値を生成するようにマスクレベルでのCD値を変更する。あるフィーチャがウエーハレベルで非常に小さく転写される場合には、マスクレベルでのフィーチャがノミナル値よりも若干大きくバイアスされる。逆も同様である。マスクレベルからウエーハレベルへのパターン転写プロセスは非線形であるから、バイアス量は、ベストフォーカス及びベスト露光量で測定されたCD誤差に縮小率を掛けたものというほど単純ではない。適切なバイアスはモデル化及び実験により決定することができる。選択的バイアス法は、特にノミナルのプロセス条件にのみ適用されるという点で光近接効果問題への対策としては不完全である。このバイアスが原理的に適用されて複数の均一なCD−ピッチ曲線がベストフォーカス及びベスト露光量で与えられたとしても、一度露光プロセスがノミナル条件から変動すれば、バイアスされたピッチ曲線の各々は応答が異なるためフィーチャごとにプロセスウインドウが異なってしまう。よって、同一のCD−ピッチを与える「ベスト」なバイアスは、プロセスウインドウに負の影響を与えうる。つまり、所望のプロセス許容度でウエーハに転写する目標フィーチャのすべてを包含するフォーカス範囲及び露光量範囲を大きくするのではなく小さくしてしまうおそれがある。
上述の1次元のバイアスの例以外にも、より複雑なOPC技術が開発され利用されている。2次元の光近接効果にはライン端縮み(ラインエンドショートニング)がある。ラインエンドは、露光量及びフォーカスの関数として所望の終端位置から「プルバック(pull back)」する傾向がある。多くの場合、長いラインエンドにおける縮み量は対応するライン幅の縮小量の数倍の大きさになりうる。このラインエンドプルバックは製造されるデバイスに重大な故障を生じさせるおそれがある。それは、ラインエンドと被覆されるはずの下層との接続が不完全となった場合である。例えば、ポリシリコンゲート層とソース−ドレイン領域との接続がある。この種のパターンはフォーカス及び露光量に非常に敏感であるから、ライン端を設計長よりも長くするという単純なバイアス法は不適切である。それは、ベストフォーカス及びベスト露光量における、あるいは露光不足状況下においてラインが過度に長くなり、延長されたラインエンドが隣接構造に接触して回路に短絡が生じるおそれがあるからである。また、回路内の個別のフィーチャの間隔を大きくした場合には回路の大きさが不必要に大きくなってしまうことになる。集積回路設計及び製造工程の主目的の1つは、チップごとに要する面積を最小化しつつ機能素子数を最大化することにある。よって余計な空間を付加することは極めて望ましくない解決策である。
2次元OPC法はこのラインエンドプルバック問題を解決する一助として開発されてきた。「ハンマーヘッド」または「セリフ」として知られる追加構造(またはアシストフィーチャ)がラインエンドに日常的に付加されて、ラインエンドが規定位置に効果的に保持されプロセスウインドウ全体にわたってプルバックが低減される。ベストフォーカス及びベスト露光量であってもこれらの追加構造ははっきりとは解像されないし、それら自身が完全に解像されることなく主たるフィーチャの外観を変える。アシストフィーチャは、目標ウエーハパターンを縮小率で単純に拡大したものとはマスクパターンがもはや異なるという点で、ラインエンドに単純に付加されるハンマーヘッドよりもより一層積極的な形式をとることができる。セリフ等のアシストフィーチャは、単にラインエンドプルバックを低減する以外の多くの場合に適用されうる。内側セリフ(インナーセリフ)及び外側セリフ(アウターセリフ)はあらゆるエッジ特に2次元エッジに適用可能であり、コーナーが丸くなったりエッジが突き出したりするのを軽減する。すべてのサイズ及び極性に選択的バイアス及びアシストフィーチャを充分に付加すると、マスク上のフィーチャはウエーハレベルに欲しい最終的パターンとは見た目が大分異なっていく。一般に、マスクパターンはウエーハレベルでのパターンの変形前の形とされる。すなわち、リソグラフィプロセス中に生じるパターン歪みを解消することを意図する変形を与えることで、ウエーハ上のパターンが設計者の意図するパターンにできるだけ近くなるようにする。
他のOPC技術においては、フィーチャにセリフを付加する等の補助構造に代えて、完全に独立かつ解像され得ないアシストフィーチャがマスクに付加される。この独立アシストフィーチャはウエーハにフィーチャとして転写されることは意図されておらず、近傍の主たるフィーチャの空間像を修正してその主たるフィーチャの転写性及びプロセス許容度を改善することを意図している。しばしば「スキャッタリングバー」と呼ばれるサブレゾリューションアシストフィーチャ(SRAF)が更なる複雑さの階層をマスクに追加する。スキャッタリングバーの使用の簡単な一例は、非解像のスキャッタリングバーの規則的配列を孤立ラインフィーチャの両側に描くことである。これは、空間像という観点から見て、孤立ラインが密なライン配列内でより代表的に見えるようにする効果がある。その結果、フォーカス許容度及び露光量許容度が密パターンのフォーカス許容度及び露光量許容度に近いプロセスウインドウが得られる。このように装飾された孤立フィーチャ及び密パターンに共通のプロセスウインドウは、マスクレベルで孤立したフィーチャに比べて、フォーカス変動及び露光量変動に対する許容度が共通してより大きくなる。
これらOPC技術の多くは、同様にして解像度及びプロセスウインドウを改善するために付加される、異なる位相への位相シフト構造を有する単一のマスクにも使用される。1次元ラインにバイアスを与えるのは単純作業であるが、2次元構造では移動したりサイズ変更したりアシストフィーチャを増加したり、場合によっては位相シフトをしたりすることを隣接するフィーチャ間で矛盾が生じないようにして行わなければならないため複雑さが増大する。サブ波長のリソグラフィの進展により近接範囲が狭くなったために、フィーチャに適用されるOPCを変更することで、0.5乃至1ミクロンの範囲に位置する他のフィーチャに意図せざる結果をもたらすことがある。この近接範囲に多数のフィーチャが存在しうるので、またより積極的な手法の追加もあって、OPC装飾の最適化作業の複雑度は大きくなってきている。新たなフィーチャを設計に付加するたびに他のフィーチャに影響が生じるため再補正が必要となる。その結果、各フィーチャがもともと意図されていたように転写されるとともに隣接するフィーチャも各々の許容誤差範囲で転写されるよう空間像に適切に作用するようにマスクレイアウトを収束させるべく反復処理が必要となる。
この複雑性とフィーチャ間の相互作用とにより、OPC技術はイノベーションが生まれる主要分野となっており、どのようにして相互最適化において管理可能なエッジ数にフィーチャを「分割」または「分解」するかということや、隣接フィーチャの近傍のOPCアシストフィーチャによる意図せざる歪みから最重要構造をもっともよく保護するための最適化ルーチンの優先順位付けはどのようにすればよいか、どのようにしてフィーチャ間の位相及び配置の矛盾を解消するか、計算されたフィーチャの目標フィーチャへの収束と計算速度とのトレードオフをどうするか、製造可能な技術としてOPCを完全に実現するためのその他の詳細など、多くの技術が広く述べられている。
OPCはルールベースの方法からモデルベースの方法へと移行してきている。モデルベースOPCでは、露光ツールの空間像への影響とレジストプロセスの影響とが数学的にモデル化される。図2は、モデルベースOPCの設計プロセスの典型例を示すフローチャートである。ステップ210では、OPC処理前のレイアウト、OPC技術ファイル、光学モデル、及びレジストモデルが取得される。OPC技術ファイルは、使用されるモデルベースOPC技術の種類、例えばライン幅バイアス補正量、コーナーラウンディング補正量、またはラインエンドプルバック補正量を記述する。光学モデルは露光ツールの照明光学系及び投影光学系を記述する。光学モデルは、薄層レジストへの結像の影響またはマスクのトポグラフィの影響を含んでもよい。レジストモデルは、露光ツールでマスクパターンにより照明された後のレジストの変化を記述する。図2の方法においてはエッチングモデルが使用されてもよい。光学モデル、レジストモデル、及びエッチングモデルは、基本原理から導出されていてもよいし、実験データから実験的に決定されていてもよいし、両者の組合せで求められていてもよい。これらのモデルは通常、ノミナルプロセス条件で較正されている。R. Socha, "Resolution Enhancement Techniques," Photomask Fabrication Technology, Benjamin G. Eynon, Jr. and Banqiu Wu, Editors, McGraw-Hill, pp. 466-468, 2005を参照。OPC処理前レイアウト、OPC技術ファイル、及び各モデルはすべてモデルベースOPCのソフトウエアへの入力である。
ステップ212では、モデルベースOPCソフトウエアはOPC処理前レイアウトに含まれるフィーチャをエッジセグメントに分解し、各エッジセグメントに制御点を割り当てる。どのフィーチャもOPC技術の適用前に分解される。いずれのフィーチャも、たとえ同一形状のフィーチャであっても、近接環境が異なるからである。制御点(あるいは評価点)は、OPC設計プロセス中にCD誤差またはエッジ位置誤差(EPE)が評価される位置である。制御点の割り当ては、OPC処理前レイアウトのパターン幾何形状及び光学モデルに依存する複雑な処理である。図3は、L字状フィーチャ310を示す。ここでは分解点が三角形で示され制御点が円形で示されている。
ステップ214では、モデルベースOPCソフトウエアは、OPC処理前レイアウトに光学モデル及びレジストモデルを適用することによりウエーハに転写されるレジスト像のシミュレーションを行う。一般に、このシミュレーションは、光学モデルが較正されたノミナルのプロセス条件で行われる。ステップ216では、モデルベースOPCソフトウエアは、シミュレートされたレジスト像の値を予め設定された閾値に比較することにより、シミュレートされたレジスト像の等高線を生成する。モデルベースOPCソフトウエアは、シミュレーションから得られた等高線をOPC処理前レイアウトとすべての制御点において比較して、設計されたレイアウトが望ましいパターン特性をもたらすか否かを決定する。この比較は通常、各制御点でのCDまたはEPEとして定量化される。ステップ218では、モデルベースOPCソフトウエアは、各エッジセグメントの等高線の計量(メトリック)による性能指数(フィギュアオブメリット)が満足されるか否かを決定する。一実施形態では、この性能指数は各エッジセグメントの等高線の計量例えばCDまたはEPEの合計誤差が最小化されるときに満足される。別の実施形態では、性能指数は各エッジセグメントの等高線の計量の合計誤差が予め設定された閾値を下回るときに満足される。性能指数が満足される場合にはプロセスは終了し、性能指数が満足されない場合にはプロセスはステップ220へと続く。
図4は、2つの制御点で測定され反対の符号を有する2つのEPEを示す。仮定のレジスト像等高線シミュレーション結果414がそのフィーチャの設計幾何形状412に重なり合わない場合には、その制御点における相違に基づいてEPEが決定される。図2に戻る。ステップ220では、モデルベースOPCソフトウエアは、各制御点でのエッジ補正量を計算する。制御点Ciで決定されるi番目のエッジセグメント(Ei)のEPEをΔEiとすると、最も単純なエッジ補正量ΔLiはこの誤差を負としたものであり、ΔLi=−ΔEiである。この直接的な補正関数は非線形プロセスではうまく機能しない。マスク上での変更が、転写されるレジスト像に線形に反映されないからである。非線形性例えばマスクエラーファクタ(MEF)を考慮に入れるには、次のようなもう少し複雑な補正関数が使用される。
Figure 2011076119
実際の利用では、適切な補正量を計算する方法はもっと複雑であり、補正アルゴリズムは、ライン幅誤差、製造プロセス、補正目標、拘束条件などの要因に依存する。A.K. Wong, Resolution Enhancement Techniques in Optical Lithography, SPIE Press, pp. 91-115, 2001を参照。例えば、あるフィーチャにN個のエッジセグメントがあり、各エッジセグメントに1つの制御点があり、i番目のエッジセグメントの補正量をΔLiとすると、最終的な目標は、レジスト像値RI(Ci)と予め設定された閾値Tとの偏差をすべての制御点でゼロとするようにΔL、ΔL、・・・、ΔLを解くことである。すなわち、
RI(Ci)−T=0、ただし、i=1、・・・、N、ここでCiは制御点である。
あるいは次の関数、
Figure 2011076119
を最小化することである。
次にステップ222では、モデルベースOPCソフトウエアは、すべてのエッジセグメントについて計算された補正量ΔLiに従ってエッジセグメントEiを調整してOPC処理後レイアウトを生成する。OPC処理後レイアウトは、シミュレーションで得られたレジスト像等高線を設計幾何形状に合わせるように生成する。そしてこの方法はステップ214に戻る。モデルベースOPCはソフトウエアはステップ222で生成されたOPC処理後レイアウトを使用してレジスト像のシミュレーションを行う。ステップ216ではOPC処理後レイアウトを使用して生成されたレジスト像シミュレーション結果に対してレジスト像等高線及び誤差が計算される。ステップ218では、モデルベースOPCソフトウエアは、合計EP誤差が最小化されているか、またはある閾値よりも小さくなっているかを決定する。合計EP誤差は、
Figure 2011076119
と定義されてもよい。これに代えて、合計EP誤差はすべてのセグメントの最大EP誤差として定義されてもよい。すなわち、
Figure 2011076119
でもよい。OPCの目的はすべてのエッジ位置誤差をある閾値未満にするように設定されてもよいからである。
図2の方法においては、マスクレイアウトの各エッジセグメントに対して補正量が個別的に決定される。レイアウトにおいて他のエッジセグメントが移動することの影響は考慮に入れられていない。フィーチャサイズが小さくなると、個々のエッジセグメントの補正量を解くときに収束の問題が生じることが経験されている。そこで、レイアウトの他のエッジセグメントの移動を考慮に入れて各エッジセグメントの補正量を決定する技術が求められている。
本発明の方法は、どのようにしてマスクレイアウトにおける複数のエッジセグメントの集団的な移動が該レイアウトの複数の制御点でのレジスト像の値を変更するのかを追跡するとともに、該レイアウトにおける各エッジセグメントに対する補正量を決定する。マスクレイアウトの各エッジセグメントの移動の集合的な影響を示すマルチソルバー行列が使用され、マスクレイアウトの各エッジセグメントの補正量が同時に決定される。
一実施形態においては、本発明の方法は、第1のレジスト像シミュレーション結果を得るべくマスクレイアウトを使用してフォトリソグラフィプロセスのシミュレーションを行い、初期摂動レイアウトを得るべく前記マスクレイアウトのエッジセグメントの各々を所定量だけ摂動させ、第2のレジスト像シミュレーション結果を得るべく初期摂動レイアウトを使用して前記フォトリソグラフィプロセスのシミュレーションを行い、各エッジセグメントについて第1のレジスト像シミュレーション結果と第2のレジスト像シミュレーション結果との偏差を決定し、すべてのエッジセグメントについての偏差を含むマルチソルバー行列を生成し、マルチソルバー行列の擬似逆行列を使用して、各エッジセグメントの補正デルタ値を含む補正デルタベクトルを決定し、更なる摂動レイアウトを生成すべく、初期摂動レイアウトの各エッジセグメントを補正デルタベクトルの対応する補正デルタ値だけ摂動させ、第3のレジスト像シミュレーション結果を得るべく前記更なる摂動レイアウトを使用して前記フォトリソグラフィプロセスのシミュレーションを行い、各エッジセグメントについての第3のレジスト像シミュレーション結果に基づいてマルチソルバー行列を更新し、更新されたマルチソルバー行列の擬似逆行列を使用して補正デルタベクトルを更新することを含む。
一実施形態においては、本発明の方法は、補正デルタベクトルに従ったマスクレイアウトのエッジセグメント位置調整の前に補正デルタベクトルのそれぞれの値に減衰係数を掛けることを含む。
本発明の一実施形態に係るリソグラフィシステムを示す図である。 モデルベースOPCの方法を示すフローチャートである。 エッジセグメント及び制御点を含むフィーチャを示す図である。 フィーチャ及び仮定のレジスト像シミュレーション結果のエッジ位置誤差を示す図である。 エッジセグメント及び制御点を含むコンタクトフィーチャを示す図である。 本発明の一実施形態に係り、マスクレイアウトの複数エッジセグメントの補正を同時に解く方法を示すフローチャートである。 従来技術の単一変数解法及び図6の方法で決定されたコンタクトフィーチャの典型的なエッジセグメントについての、反復回数に対するレジスト像の値のプロット図である。 従来の単一変数解法を使用して得られたOPC補正を適用したときのエッジ位置誤差のヒストグラムである。 図6の方法を使用して得られたOPC補正を適用したときのエッジ位置誤差のヒストグラムである。
本発明の方法は、どのようにしてマスクレイアウトにおける複数のエッジセグメントの集合的な移動が該レイアウトの複数の制御点でのレジスト像の値を変更するのかを追跡するとともに、該レイアウトにおける各エッジセグメントに対する補正量を決定する。図5は、エッジセグメント及び制御点を含むコンタクトフィーチャ510及び512を示す図である。コンタクトフィーチャ510は、位置が調整されうる4つのエッジセグメントを有し、各エッジセグメントは1つの制御点を有する。例えばエッジセグメント522は制御点524を有する。コンタクトフィーチャ510及び512は比較的小さいフィーチャであるので、1つのエッジセグメント例えばエッジセグメント522の移動がそのエッジセグメントの制御点(例えば制御点524)でのレジスト像の値に影響するとともに、このコンタクトフィーチャの他の3つのエッジセグメントの制御点でのレジスト像の値にも影響する。また、このレイアウトにおいて近接して配置されている複数のコンタクトフィーチャに関しても、1つのコンタクトフィーチャのエッジセグメントの移動が隣接するコンタクトフィーチャのエッジセグメントの制御点でのレジスト像の値に影響する。制御点でのレジスト像の値に対する隣接エッジセグメントの移動による同様の影響は、非コンタクトレイヤのマスクレイアウトにおいても観察されうる。
図6は、本発明の一実施形態に係り、マスクレイアウトの複数エッジセグメントの補正量を同時に解く方法を示すフローチャートである。マスクレイアウトが大きい場合には、まずレイアウトを複数のパッチに分割してもよい。パッチは通常20μm×20μm乃至60μm×60μmの大きさである。マスクレイアウトはOPCを適用する前に分割され、OPCを各パッチに適用してから最終のOPC処理後レイアウトを生成すべく結合される。ステップ610では、設計レイアウト(OPC処理前レイアウト)を使用してレジスト像(RI)のシミュレーションが行われる。レジスト像のシミュレーションは、例えば米国特許第7,003,758号に記載されているフォトリソグラフィシミュレーションシステムを使用して行われてもよい。この米国特許の明細書全体を本明細書に引用する。ステップ612では、n個の移動可能エッジセグメントが存在するとして、設計レイアウトのエッジセグメントを予め設定された距離だけ摂動させる(すなわち移動させる)。これは、n×1ベクトル
Figure 2011076119
で特定される。これにより、摂動レイアウトが生成される。ステップ614では、摂動レイアウトを使用してレジスト像のシミュレーションが行われる。
ステップ616では、設計レイアウトを使用してシミュレーションにより得られたRI値と摂動レイアウトを使用してシミュレーションにより得られたRI値との偏差を各エッジセグメントについて決定する。この偏差は初期マルチソルバー行列Aを生成するのに使用される。初期マルチソルバー行列はn×n行列であり、nはレイアウト中のエッジセグメントの数であり、マスクによっては100万を超える。初期マルチソルバー行列は対角行列であり、i番目(ここでi=1、・・・、N)の対角成分はi番目のセグメントのシミュレーションによるRI値の偏差ΔRIをi番目のセグメントの摂動量Δco,iで除した値である。Aのi番目の対角成分は数学的には、
Figure 2011076119
で与えられる。言い換えれば、当初はエッジセグメントは相互作用しないと仮定していることになる。つまり、各エッジセグメントのRI値の変動はそのエッジセグメントにのみ帰属する。対角行列であるから、Aの非対角成分はすべてゼロである。すなわち、
Figure 2011076119
である。
ステップ618では、レイアウト中のエッジセグメントに対する補正デルタベクトルがマルチソルバー行列の擬似逆行列を使用して決定される。補正デルタベクトル
Figure 2011076119
は、各エッジセグメントの補正デルタ値を含む。補正デルタベクトルの補正デルタ値は、目標RI値とステップ616(あるいは後にはステップ622)で決定されるシミュレーションによる最新RI値との偏差をまず計算して、その偏差にマルチソルバー行列の擬似逆行列を掛けることにより決定される。すなわち、
Figure 2011076119
である。ここで、
Figure 2011076119
はn×nマルチソルバー行列の擬似逆行列であり、
Figure 2011076119
は目標RI値を示すn×1ベクトルであり、
Figure 2011076119
は、ベクトル
Figure 2011076119
で特定される位置の値だけエッジセグメントを移動したときのシミュレーションによる最新RI値を示すn×1ベクトルである。kはステップ618の反復回数を示す添字である。
マルチソルバー行列の擬似逆行列が使用されるのは、マルチソルバー行列が特異であるか特異に近くなることがあるからである。あるいは、RI値が取得される制御点が調整可能なエッジセグメントと同数ではなく通常の逆行列が計算され得ないように問題が一般化される場合には、マルチソルバー行列は正方行列ではないからである。マルチソルバー行列の擬似逆行列
Figure 2011076119
は、
Figure 2011076119
と定義される。ここで、
Figure 2011076119
はマルチソルバー行列の転置であり、Iはn×n単位行列であり、αは単位行列に適用される調整可能な正の倍数因子である。αの値は好ましくはデフォルト値に設定されるが図6の方法の全体特性を最適化するよう調整されてもよい。
図6の方法で得られたマルチソルバー行列は100万×100万にも大きくなり得るので、マルチソルバー行列Aは3つの成分で表現されることが好ましい。すなわち、
Figure 2011076119
である。ここで、Aは対角行列である初期マルチソルバー行列であり、P及びQはn×p行列であり、nはエッジセグメントの数であり、pはP及びQの列の数である。p<<nである。初期値においてはp=0であり、行列P及びQは初期値では空行列である。P及びQの列には、ステップ624で後述するように、マルチソルバー行列への更新値が集められる。更新値の数は反復回数とともに増加するので、行列P及びQに蓄積される更新値の最大数を制限してもよい。例えば、pの最大値がpmaxに制限されてもよく、pmax個の最新の更新値が蓄積される。マルチソルバー行列をこれら3つの成分で表現することにより、マルチソルバー行列を効率的に記憶して、後述のように補正デルタベクトルを効率的に計算することができる。例えばn=1,000,000でありp=10であるとすると、n×nマルチソルバー行列Aを浮動小数点表示を使用してそのまま記憶するにはn×n×4=4兆バイトを消費してしまう。ところが、初期マルチソルバー行列Aの対角成分と行列P及びQを記憶するには、(n+n×p×2)×4=8400万バイトを消費するだけでよい。
中間行列を生成すれば、補正デルタベクトルは次のように計算される。
Figure 2011076119
ここで、
Figure 2011076119
は対角行列であり、
Figure 2011076119
はn×2p行列であり、
Figure 2011076119
行列であり、
Figure 2011076119
は2p×2p行列である。マルチソルバー行列をまず3つの成分で表現し中間行列を生成することにより、補正デルタベクトルの計算が効率的に行われる。具体的には、上述の等式の
Figure 2011076119
の逆行列が迅速に計算されうる。
Figure 2011076119
は2p×2p行列であり、pは通常10に等しい。例えばn=400,000でありp=10であるとすると、補正デルタベクトルは上述の等式を使用して、400,000×400,000行列の逆行列を計算する代わりに20×20行列の逆行列を計算することにより決定される。マルチソルバー行列が400,000×400,000行列である場合に上述の等式を使用して補正デルタベクトルを計算すれば、およそ1秒間で得られる。これに対して、400,000×400,000行列の逆行列を単に力業で計算した場合には2年以上かかるであろう。更に計算速度を高めるには、Dの逆行列を、
Figure 2011076119
と分析的に計算してもよい。一実施形態においては、反復処理のたびに
Figure 2011076119
を最小化する補正デルタベクトル
Figure 2011076119
が計算される。エッジセグメントに異なる特性または重み係数を割り当てることも可能である。非負の重み係数を対角成分に有する対角行列Wを導入した場合には、
Figure 2011076119
が最小化される。一実施形態においては、数量A及び
Figure 2011076119
が補正デルタベクトルを計算する際に、
Figure 2011076119
に置き換えられる。他の実施形態においては、最適化行列表示
Figure 2011076119
を使用して補正デルタベクトルを計算する際に、数量A、P、及び
Figure 2011076119
が、
Figure 2011076119
に置き換えられる。初期状態では行列Wは単位行列に等しい。i番目のセグメントに対応するi番目のWの対角成分に大きな重み係数(>>1)が割り当てられた場合には、反復処理のたびに、i番目のセグメントのEPEが残りのセグメントのEPEよりも好ましいことに低減される。i番目のセグメントのEPEは重み係数によって増幅されるからである。
ステップ620においては、補正デルタベクトルに減衰係数が適用されて、減衰された補正デルタベクトルの値でレイアウト中のエッジセグメントが移動される。すなわち、エッジセグメントの次の位置は、
Figure 2011076119
である。減衰係数はゼロと1との間の値であり、エッジセグメントの次の位置が大きく変化しすぎないように補正デルタベクトルに適用される。次いでステップ622では、レイアウトの最新版を使用してレジスト像のシミュレーションが行われる。ステップ624では、マルチソルバー行列が、エッジセグメントの位置変化によって生じたRI値の変化に基づいて更新される。マルチソルバー行列の更新が必要であるのは、マルチソルバー行列が、補正値のRI値に対する変化に線形に関連していてエッジセグメントが移動するときに変化するからである。ベクトル
Figure 2011076119

Figure 2011076119
と定義され、ベクトル
Figure 2011076119

Figure 2011076119
と定義される場合には、マルチソルバー行列Aを更新するには、
Figure 2011076119
であれば、
Figure 2011076119
が行列Pに新たな列として加えられ、
Figure 2011076119
が行列Qの新たな列として加えられる。この更新の結果、対角行列であった初期マルチソルバー行列Aはもはやそうではなくなる。マルチソルバー行列のi行j列の値は、j番目のエッジセグメントの位置変化によって生じるi番目のエッジセグメントのRI値の線形変化と解釈される。Aを
Figure 2011076119
と表現せずに直接用いる場合には、更新されたマルチソルバー行列は、
Figure 2011076119
であれば、
Figure 2011076119
で得られる。
ステップ626では、レイアウト中のエッジセグメント位置調整が終了したか否かが決定される。一実施形態においては、ステップ618からステップ624の反復処理が予め定められた回数なされたときに調整が終了される。定められた反復回数が完了していないときには、この方法はステップ618に戻る。他の実施形態においては、性能指数が満足されるときに調整が終了される。性能指数は、レイアウト全体のエッジ位置誤差の2乗和が最小化されるかまたは予め設定された閾値未満となったときに満足されたものとしてもよい。性能指数が満足されない場合には、この方法はステップ618に戻る。調整が終了した場合には、ステップ628においてレイアウトの最新版がOPC処理後レイアウトとして使用される。OPC処理後レイアウトは通常、マスクの製造に使用される。
図6の方法はソフトウエアで実行されてもよいし、ハードウエアで実行されてもよい。ソフトウエアで実行される図6の方法の実施形態は、いかなるコンピュータシステムで実行されてもよい。図6の方法は、いかなるマスクのいかなるマスクレイヤにも適用可能である。例えばコンタクトレイヤでもポリレイヤでもよい。また、いかなる形式のマスクにも適用可能である。例えばブライトフィールドマスクでもダークフィールドマスクであってもよい。図6の方法は、双極照明フォトリソグラフィに使用されるマスクのマスクレイアウトにも適用可能である。補正デルタベクトルは、双極照明に使用される2つのマスクレイアウトのそれぞれに対して、低コントラストの空間像をゼロに等しくして双方のマスクからのレジスト像の値をゼロに等しくするように決定されてもよい。
図7は、反復回数に対するレジスト像の値のプロット図である。この図は、コンタクトフィーチャの典型的なエッジセグメントに関するものであり、従来技術の単一変数の解法によって決定されたものと、図6に示される方法で決定されたものとが示されている。図示されるように、図6の多変数解法を使用して決定された補正量から得られるRI値は、従来の単一変数解法(反復回数29回)よりも迅速に(反復回数11回で)目標RI値ゼロに収束している。
図8は、従来の単一変数解法を使用して6回のOPC処理を反復して得られたOPC補正を適用したときのエッジ位置誤差のヒストグラムである。図8に示される分布は1.0nmから4.2nmの範囲に広がっており、この分布の二乗平均平方根(RMS)は0.61nmである。図9は、図6の方法を使用して6回のOPC処理を反復して得られたOPC補正を適用したときのエッジ位置誤差のヒストグラムである。図9に示される分布は1.0nmから1.7nmの範囲に広がっており、この分布のRMSは0.11nmである。よって、図6の多変数解法を使用してエッジセグメントの補正量を決定すれば、エッジ位置誤差の「欠陥」が小さくなるとともにエッジ位置誤差分布も狭くなる。
本明細書では特定の実施形態を参照して本発明を説明したが、添付の請求項に説明される本発明のより広い精神と範囲から逸脱することなくさまざまな修正や変更を加えてもよいことは明らかであろう。よって、本明細書及び図面は一例を示すにすぎず制限的に解釈されるべきではない。
10 光投影リソグラフィシステム、 12 照明源、 14 照明瞳フィルタ、 16a レンズサブシステム、 16b レンズサブシステム、 16c レンズサブシステム、 18 マスク、 20 瞳、 22 ウエーハ。

Claims (17)

  1. マスクレイアウトにおける複数のエッジセグメントについて、摂動量を該複数のエッジセグメントに適用したことによるレジスト像の値の偏差を決定することと、
    前記複数のエッジセグメントのすべてについてのレジスト像の値の偏差を含むマルチソルバー行列を生成することと、
    前記マルチソルバー行列を使用して、前記マスクレイアウトの前記複数のエッジセグメントの各々についての補正デルタ値を同時に決定することと、を実行するための命令を含むことを特徴とするコンピュータプログラム。
  2. 前記マルチソルバー行列の擬似逆行列A+は、
    A+ = (αI + AT A)-1AT
    で定義され、
    ATはマルチソルバー行列の転置行列であり、Iは単位行列であり、αは単位行列に適用される調整可能な正の倍数因子であることを特徴とする請求項1に記載のコンピュータプログラム。
  3. 初期マルチソルバー行列A0はi番目の対角成分が、
    [ A0 ]ii = Δ RI i / Δ c0, i
    で定められる対角行列であり、
    Δ c0, iはi番目のエッジセグメントの摂動量であり、Δ RI iはi番目のエッジセグメントのレジスト像の値に摂動の結果生じる変動であることを特徴とする請求項2に記載のコンピュータプログラム。
  4. マルチソルバー行列Aは、
    Figure 2011076119
    と表現され、
    A0は対角行列である初期マルチソルバー行列であり、P及びQはn×p行列であり、nはエッジセグメントの数であり、pはP及びQの列の数であることを特徴とする請求項1に記載のコンピュータプログラム。
  5. 初期マルチソルバー行列A0はi番目の対角成分が、
    [ A0 ]ii = Δ RI i / Δ c0, i
    で定められる対角行列であり、
    Δ c0, iはi番目のエッジセグメントの摂動量であり、Δ RI iはi番目のエッジセグメントのレジスト像の値に摂動の結果生じる変動であることを特徴とする請求項4に記載のコンピュータプログラム。
  6. 前記レジスト像の値の偏差を決定することは、
    第1のレジスト像シミュレーション結果を得るべくマスクレイアウトを使用してフォトリソグラフィプロセスのシミュレーションを行うことと、
    初期摂動レイアウトを得るべく前記マスクレイアウトの前記複数のエッジセグメントの各々を所定量だけ摂動させることと、
    第2のレジスト像シミュレーション結果を得るべく初期摂動レイアウトを使用して前記フォトリソグラフィプロセスのシミュレーションを行うことと、
    前記複数のエッジセグメントの各々について第1のレジスト像シミュレーション結果と第2のレジスト像シミュレーション結果との間のレジスト像の値の偏差を決定することと、を含むことを特徴とする請求項1に記載のコンピュータプログラム。
  7. 前記補正デルタ値を同時に決定することは、前記マルチソルバー行列の擬似逆行列を使用して、前記補正デルタ値を含む補正デルタベクトルを決定することを含むことを特徴とする請求項1に記載のコンピュータプログラム。
  8. 摂動されたレイアウトにおける前記複数のエッジセグメントの各々を前記補正デルタベクトルの対応する補正デルタ値だけ摂動させて、更なる摂動レイアウトを生成することと、
    第3のレジスト像シミュレーション結果を得るべく前記更なる摂動レイアウトを使用して前記フォトリソグラフィプロセスのシミュレーションを行うことと、
    前記複数のエッジセグメントの各々についての第3のレジスト像シミュレーション結果に基づいて前記マルチソルバー行列を更新することと、
    更新されたマルチソルバー行列の擬似逆行列を使用して前記補正デルタベクトルを更新することと、をさらに含むことを特徴とする請求項7に記載のコンピュータプログラム。
  9. エッジセグメントを含むマスクレイアウトのためのマスクイメージデータを生成するシステムであって、
    マスクレイアウトにおける複数のエッジセグメントについて、摂動量を該複数のエッジセグメントに適用したことによるレジスト像の値の偏差を決定することと、
    前記複数のエッジセグメントのすべてについてのレジスト像の値の偏差を含むマルチソルバー行列を生成することと、
    前記マルチソルバー行列を使用して、前記マスクレイアウトの前記複数のエッジセグメントの各々についての補正デルタ値を同時に決定することと、によりエッジセグメントの配置を決定するためのコンピュータを備えることを特徴とするシステム。
  10. 前記マルチソルバー行列の擬似逆行列A+は、
    A+ = (αI + AT A)-1AT
    で定義され、
    ATはマルチソルバー行列の転置行列であり、Iは単位行列であり、αは単位行列に適用される調整可能な正の倍数因子であることを特徴とする請求項9に記載のシステム。
  11. 初期マルチソルバー行列A0はi番目の対角成分が、
    [ A0 ]ii = Δ RI i / Δ c0, i
    で定められる対角行列であり、
    Δ c0, iはi番目のエッジセグメントの摂動量であり、Δ RI iはi番目のエッジセグメントのレジスト像の値に摂動の結果生じる変動であることを特徴とする請求項10に記載のシステム。
  12. マルチソルバー行列Aは、
    Figure 2011076119
    と表現され、
    A0は対角行列である初期マルチソルバー行列であり、P及びQはn×p行列であり、nはエッジセグメントの数であり、pはP及びQの列の数であることを特徴とする請求項9に記載のシステム。
  13. 初期マルチソルバー行列A0はi番目の対角成分が、
    [ A0 ]ii = Δ RI i / Δ c0, i
    で定められる対角行列であり、
    Δ c0, iはi番目のエッジセグメントの摂動量であり、Δ RI iはi番目のエッジセグメントのレジスト像の値に摂動の結果生じる変動であることを特徴とする請求項12に記載のシステム。
  14. 前記レジスト像の値の偏差を決定することは、
    第1のレジスト像シミュレーション結果を得るべくマスクレイアウトを使用してフォトリソグラフィプロセスのシミュレーションを行うことと、
    初期摂動レイアウトを得るべく前記マスクレイアウトの前記複数のエッジセグメントの各々を所定量だけ摂動させることと、
    第2のレジスト像シミュレーション結果を得るべく初期摂動レイアウトを使用して前記フォトリソグラフィプロセスのシミュレーションを行うことと、
    前記複数のエッジセグメントの各々について第1のレジスト像シミュレーション結果と第2のレジスト像シミュレーション結果との間のレジスト像の値の偏差を決定することと、を含むことを特徴とする請求項9に記載のシステム。
  15. 前記補正デルタ値を同時に決定することは、前記マルチソルバー行列の擬似逆行列を使用して、前記補正デルタ値を含む補正デルタベクトルを決定することを含むことを特徴とする請求項9に記載のシステム。
  16. 摂動されたレイアウトにおける前記複数のエッジセグメントの各々を前記補正デルタベクトルの対応する補正デルタ値だけ摂動させて、更なる摂動レイアウトを生成することと、
    第3のレジスト像シミュレーション結果を得るべく前記更なる摂動レイアウトを使用して前記フォトリソグラフィプロセスのシミュレーションを行うことと、
    前記複数のエッジセグメントの各々についての第3のレジスト像シミュレーション結果に基づいて前記マルチソルバー行列を更新することと、
    更新されたマルチソルバー行列の擬似逆行列を使用して前記補正デルタベクトルを更新することと、をさらに含むことを特徴とする請求項15に記載のシステム。
  17. マスクを製造する方法であって、
    マスクレイアウトにおける複数のエッジセグメントについて、摂動量を該複数のエッジセグメントに適用したことによるレジスト像の値の偏差を決定することと、
    前記複数のエッジセグメントのすべてについてのレジスト像の値の偏差を含むマルチソルバー行列を生成することと、
    前記マルチソルバー行列を使用して、前記マスクレイアウトの前記複数のエッジセグメントの各々についての補正デルタ値を同時に決定することと、により配置が決定されたエッジセグメントを含むマスクレイアウトを生成することと、
    生成されたマスクレイアウトデータを使用してマスクを製造することと、を含むことを特徴とする方法。
JP2011005775A 2007-06-15 2011-01-14 光近接効果補正のための多変数解法 Active JP4746719B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/764,128 US7707538B2 (en) 2007-06-15 2007-06-15 Multivariable solver for optical proximity correction
US11/764,128 2007-06-15

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008155897A Division JP4746649B2 (ja) 2007-06-15 2008-06-13 光近接効果補正のための多変数解法

Publications (2)

Publication Number Publication Date
JP2011076119A true JP2011076119A (ja) 2011-04-14
JP4746719B2 JP4746719B2 (ja) 2011-08-10

Family

ID=39930743

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008155897A Active JP4746649B2 (ja) 2007-06-15 2008-06-13 光近接効果補正のための多変数解法
JP2011005775A Active JP4746719B2 (ja) 2007-06-15 2011-01-14 光近接効果補正のための多変数解法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008155897A Active JP4746649B2 (ja) 2007-06-15 2008-06-13 光近接効果補正のための多変数解法

Country Status (7)

Country Link
US (4) US7707538B2 (ja)
EP (1) EP2053460A2 (ja)
JP (2) JP4746649B2 (ja)
KR (1) KR100982800B1 (ja)
CN (2) CN101359170B (ja)
SG (1) SG148945A1 (ja)
TW (2) TWI396055B (ja)

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5001638B2 (ja) * 2006-12-22 2012-08-15 株式会社オーク製作所 露光データ作成装置
US7788630B2 (en) * 2007-03-21 2010-08-31 Synopsys, Inc. Method and apparatus for determining an optical model that models the effect of optical proximity correction
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7711504B2 (en) * 2007-07-23 2010-05-04 The Board Of Regents, University Of Texas System Method and system for performing optical proximity correction with process variations considerations
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
CN102135723B (zh) * 2010-01-21 2012-09-05 上海华虹Nec电子有限公司 基于衬底刻蚀后图形对本层光刻图形进行修正的方法
JP2012134951A (ja) * 2010-11-30 2012-07-12 Canon Inc 撮像装置及びその制御方法
KR101833017B1 (ko) 2011-02-15 2018-04-13 삼성전자 주식회사 포토 마스크의 제조 방법
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US20140013286A1 (en) * 2012-07-05 2014-01-09 Macronix International Co., Ltd. Method for manufacturing a mask
US8745550B2 (en) * 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
JP2014041976A (ja) * 2012-08-23 2014-03-06 Toshiba Corp レシピ管理装置
US9165095B2 (en) * 2013-11-15 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Target point generation for optical proximity correction
US9189588B2 (en) * 2013-12-10 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polygon-based optical proximity correction
JP2015146398A (ja) * 2014-02-04 2015-08-13 株式会社東芝 加工変換差予測装置、加工変換差予測方法および加工変換差予測プログラム
US10430543B2 (en) 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
KR102343850B1 (ko) * 2015-05-06 2021-12-28 삼성전자주식회사 광 근접 보정에서 공통의 바이어스 값을 이용하여 마스크를 제작하는 방법
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US10527928B2 (en) 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
KR102405686B1 (ko) 2017-09-08 2022-06-07 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
US11513442B2 (en) * 2017-09-27 2022-11-29 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR102440220B1 (ko) 2017-10-11 2022-09-06 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US10671052B2 (en) * 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR102525162B1 (ko) * 2017-12-18 2023-04-24 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
CN111512236B (zh) 2017-12-22 2023-01-24 Asml荷兰有限公司 涉及光学像差的图案化过程改进
KR102440337B1 (ko) 2017-12-22 2022-09-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
US10990003B2 (en) 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
WO2019179747A1 (en) 2018-03-19 2019-09-26 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
CN116841129A (zh) 2018-05-07 2023-10-03 Asml荷兰有限公司 用于确定与计算光刻掩模模型相关联的电磁场的方法
KR102585069B1 (ko) 2018-06-04 2023-10-05 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
CN112424694B (zh) 2018-07-12 2023-10-20 Asml荷兰有限公司 利用图案识别以自动地改良sem轮廓测量准确度和稳定性
WO2020035285A1 (en) 2018-08-15 2020-02-20 Asml Netherlands B.V. Utilize machine learning in selecting high quality averaged sem images from raw images automatically
KR20200028169A (ko) * 2018-09-06 2020-03-16 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
KR102653951B1 (ko) * 2018-09-06 2024-04-02 삼성전자주식회사 반도체 소자의 레이아웃 패턴 분할 방법 및 이를 포함하는 광 근접 보정 방법
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
US20210349404A1 (en) 2018-10-19 2021-11-11 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
CN112969966A (zh) 2018-11-05 2021-06-15 Asml控股股份有限公司 在硬陶瓷涂层中制造纳米脊的方法
CN112969968B (zh) 2018-11-08 2024-06-11 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
WO2020109074A1 (en) 2018-11-30 2020-06-04 Asml Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
US11797748B2 (en) 2018-12-28 2023-10-24 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
WO2020169326A1 (en) 2019-02-19 2020-08-27 Asml Holding N.V. Laser roughening: engineering the roughness of the burl top
US20220137503A1 (en) * 2019-02-21 2022-05-05 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask
KR102642972B1 (ko) 2019-02-27 2024-03-04 에이에스엠엘 네델란즈 비.브이. 모델 캘리브레이션을 위한 게이지 선택의 향상
KR102649174B1 (ko) 2019-03-03 2024-03-20 에이에스엠엘 네델란즈 비.브이. 협소화 대역폭을 이용한 이미징 방법 및 장치
KR20210122845A (ko) 2019-03-08 2021-10-12 에이에스엠엘 네델란즈 비.브이. 회절 패턴 유도 소스 마스크 최적화를 위한 장치 및 방법
CN113614638A (zh) 2019-03-21 2021-11-05 Asml荷兰有限公司 用于机器学习辅助的光学邻近效应误差校正的训练方法
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
WO2020207696A1 (en) 2019-04-09 2020-10-15 Asml Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
US20220236645A1 (en) 2019-04-30 2022-07-28 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
KR20220018050A (ko) 2019-07-10 2022-02-14 에이에스엠엘 네델란즈 비.브이. 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택
KR20220042450A (ko) 2019-08-08 2022-04-05 에이에스엠엘 네델란즈 비.브이. 포토리소그래피 이미징을 위한 장치 및 방법
CN112415855B (zh) * 2019-08-22 2022-04-12 长鑫存储技术有限公司 Opc修正方法及opc修正装置
KR20220038167A (ko) 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
US20220335290A1 (en) 2019-09-06 2022-10-20 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
US11150551B2 (en) * 2019-10-15 2021-10-19 Samsung Electronics Co., Ltd. Method for optical proximity correction in which consistency is maintained and method for manufacturing mask using the same
WO2021083608A1 (en) 2019-11-01 2021-05-06 Asml Netherlands B.V. Machine learning based image generation for model base alignments
WO2021099408A1 (en) 2019-11-19 2021-05-27 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
TWI729593B (zh) * 2019-11-27 2021-06-01 台灣積體電路製造股份有限公司 積體電路之製造方法
CN112882348B (zh) * 2019-11-29 2022-10-21 长鑫存储技术有限公司 一种光学临近效应修正方法及装置
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和系统
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
WO2021165419A1 (en) 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
WO2021175570A1 (en) 2020-03-03 2021-09-10 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
TWI791216B (zh) 2020-05-09 2023-02-01 荷蘭商Asml荷蘭公司 判定用於基板上之圖案的部分之度量
WO2021244830A1 (en) 2020-06-02 2021-12-09 Asml Netherlands B.V. Verifying freeform curvilinear features of a mask design
EP4162322A1 (en) 2020-06-03 2023-04-12 ASML Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
CN115715381A (zh) 2020-06-10 2023-02-24 Asml荷兰有限公司 像差影响系统、模型和制造过程
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
CN115917438A (zh) 2020-08-19 2023-04-04 Asml荷兰有限公司 用于从原始图像自动选择高品质图像的设备和方法
WO2022037921A1 (en) 2020-08-19 2022-02-24 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
KR20230070230A (ko) 2020-09-25 2023-05-22 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 스캐너 스루풋 및 이미징 품질의 최적화
TWI834063B (zh) 2020-09-30 2024-03-01 荷蘭商Asml荷蘭公司 減輕幫浦故障造成之損害之真空系統
WO2022083977A1 (en) 2020-10-23 2022-04-28 Asml Netherlands B.V. Method for generating mask pattern
KR20220078124A (ko) 2020-12-03 2022-06-10 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
KR20230117366A (ko) 2020-12-18 2023-08-08 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법
WO2022135818A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. Feature based cell extraction for pattern regions
EP4298478A1 (en) 2021-02-23 2024-01-03 ASML Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
US20240184213A1 (en) 2021-03-08 2024-06-06 Asml Netherlands B.V. Method of pattern selection for a semiconductor manufacturing related process
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
WO2023280511A1 (en) 2021-07-06 2023-01-12 Asml Netherlands B.V. Determining localized image prediction errors to improve a machine learning model in predicting an image
WO2023285071A1 (en) 2021-07-13 2023-01-19 Asml Netherlands B.V. Pattern selection for source mask optimization and target optimization
KR20240035491A (ko) 2021-07-21 2024-03-15 에이에스엠엘 네델란즈 비.브이. 광학 컬럼의 열적으로 안정적인 장착을 위한 시스템 및 방법
KR20240036674A (ko) 2021-07-30 2024-03-20 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 생성하는 방법
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
KR20240064651A (ko) 2021-09-22 2024-05-13 에이에스엠엘 네델란즈 비.브이. 패턴 선택 시스템들 및 방법들
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
WO2024094385A1 (en) 2022-10-31 2024-05-10 Asml Netherlands B.V. Source optimization for mitigating mask error impact
WO2024110141A1 (en) 2022-11-22 2024-05-30 Asml Netherlands B.V. Curvilinear polygon recovery for opc mask design

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0934095A (ja) * 1995-07-17 1997-02-07 Sony Corp マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JPH10104818A (ja) * 1996-09-30 1998-04-24 Mitsubishi Electric Corp 光近接効果補正方法
JPH10133358A (ja) * 1996-10-29 1998-05-22 Sony Corp 露光パターンの補正方法および露光パターンの補正装置および露光マスクおよび露光方法並びに半導体装置
JP2000098584A (ja) * 1998-07-23 2000-04-07 Toshiba Corp マスクパタ―ン補正方法及びマスクパタ―ン補正プログラムを記録した記録媒体
JP2002543470A (ja) * 1999-04-30 2002-12-17 メンター・グラフィクス・コーポレーション 補正の再使用による合理的なicマスク・レイアウトの光学的プロセス補正
JP2006186364A (ja) * 2004-12-27 2006-07-13 Asml Netherlands Bv リソグラフィ装置及びデバイス製造方法
JP2006313353A (ja) * 2005-05-05 2006-11-16 Asml Masktools Bv 近傍の影響を考慮した光学的近接効果補正を実行する装置、方法およびコンピュータ・プログラム製品

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6868175B1 (en) * 1999-08-26 2005-03-15 Nanogeometry Research Pattern inspection apparatus, pattern inspection method, and recording medium
US6721938B2 (en) * 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US6973633B2 (en) * 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US6928634B2 (en) * 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
JP2005017551A (ja) 2003-06-25 2005-01-20 Matsushita Electric Ind Co Ltd 近接効果補正の検証方法及び検証装置
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4473555B2 (ja) 2003-11-12 2010-06-02 パナソニック株式会社 近接効果補正方法及び近接効果補正装置
JP4357287B2 (ja) * 2003-12-18 2009-11-04 株式会社東芝 修正指針の発生方法、パターン作成方法、マスクの製造方法、半導体装置の製造方法及びプログラム
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
DE602005027866D1 (de) * 2004-09-08 2011-06-16 Nycomed Gmbh 3-oxa-10-aza-phenanthrene als pde4- oder pde3/4-inhibitoren
JP5045927B2 (ja) * 2005-07-08 2012-10-10 株式会社ニコン 露光方法及び露光装置、並びにデバイス製造方法
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7523435B2 (en) * 2005-12-01 2009-04-21 Intel Corporation Pixelated masks for high resolution photolithography
US7703067B2 (en) * 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0934095A (ja) * 1995-07-17 1997-02-07 Sony Corp マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JPH10104818A (ja) * 1996-09-30 1998-04-24 Mitsubishi Electric Corp 光近接効果補正方法
JPH10133358A (ja) * 1996-10-29 1998-05-22 Sony Corp 露光パターンの補正方法および露光パターンの補正装置および露光マスクおよび露光方法並びに半導体装置
JP2000098584A (ja) * 1998-07-23 2000-04-07 Toshiba Corp マスクパタ―ン補正方法及びマスクパタ―ン補正プログラムを記録した記録媒体
JP2002543470A (ja) * 1999-04-30 2002-12-17 メンター・グラフィクス・コーポレーション 補正の再使用による合理的なicマスク・レイアウトの光学的プロセス補正
JP2006186364A (ja) * 2004-12-27 2006-07-13 Asml Netherlands Bv リソグラフィ装置及びデバイス製造方法
JP2006313353A (ja) * 2005-05-05 2006-11-16 Asml Masktools Bv 近傍の影響を考慮した光学的近接効果補正を実行する装置、方法およびコンピュータ・プログラム製品

Also Published As

Publication number Publication date
KR20080110530A (ko) 2008-12-18
CN101359170A (zh) 2009-02-04
US20080309897A1 (en) 2008-12-18
US20100161093A1 (en) 2010-06-24
US20130042212A1 (en) 2013-02-14
CN101359170B (zh) 2011-09-14
US8448099B2 (en) 2013-05-21
US8938699B2 (en) 2015-01-20
SG148945A1 (en) 2009-01-29
US8291352B2 (en) 2012-10-16
JP4746719B2 (ja) 2011-08-10
TW201329653A (zh) 2013-07-16
JP2008310333A (ja) 2008-12-25
CN102221776B (zh) 2013-03-13
US7707538B2 (en) 2010-04-27
US20130311959A1 (en) 2013-11-21
JP4746649B2 (ja) 2011-08-10
TW200916972A (en) 2009-04-16
TWI418954B (zh) 2013-12-11
CN102221776A (zh) 2011-10-19
TWI396055B (zh) 2013-05-11
KR100982800B1 (ko) 2010-09-16
EP2053460A2 (en) 2009-04-29

Similar Documents

Publication Publication Date Title
JP4746719B2 (ja) 光近接効果補正のための多変数解法
US7694267B1 (en) Method for process window optimized optical proximity correction
JP5680588B2 (ja) 費用関数ベースの同時opc及びsbar最適化のための方法及び装置
JP5198588B2 (ja) モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置
US8572517B2 (en) System and method for modifying a data set of a photomask
US7493590B1 (en) Process window optical proximity correction
US20080320435A1 (en) Optical proximity correction improvement by fracturing after pre-optical proximity correction
TWI752278B (zh) 光罩製造方法
EP1197802B1 (en) Method of optical proximity correction
US8584058B2 (en) Methods for defining evaluation points for optical proximity correction and optical proximity correction methods including same
KR100861823B1 (ko) 마스크 패턴 형성 방법
Shin et al. Model-based OPC for 0.13-um contacts using 248-nm Att PSM
US20100261121A1 (en) Pattern forming method

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110510

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110513

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140520

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4746719

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250