KR100982800B1 - 광 근접성 보정을 위한 다변수 솔버 - Google Patents

광 근접성 보정을 위한 다변수 솔버 Download PDF

Info

Publication number
KR100982800B1
KR100982800B1 KR1020080055668A KR20080055668A KR100982800B1 KR 100982800 B1 KR100982800 B1 KR 100982800B1 KR 1020080055668 A KR1020080055668 A KR 1020080055668A KR 20080055668 A KR20080055668 A KR 20080055668A KR 100982800 B1 KR100982800 B1 KR 100982800B1
Authority
KR
South Korea
Prior art keywords
matrix
edge segments
vector
resist image
layout
Prior art date
Application number
KR1020080055668A
Other languages
English (en)
Other versions
KR20080110530A (ko
Inventor
윌리엄 에스. 웡
빈-더 첸
지앙웨이 리
타츠오 니시베
옌-웬 루
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20080110530A publication Critical patent/KR20080110530A/ko
Application granted granted Critical
Publication of KR100982800B1 publication Critical patent/KR100982800B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Abstract

본 발명의 방법은 마스크 레이아웃 내의 에지 세그먼트들의 총체적인 이동이 상기 레이아웃 내의 제어 지점들에서 레지스트 이미지 값들을 변경시키는 방식을 추적하고, 동시에 상기 레이아웃 내의 각각의 에지 세그먼트에 대한 보정량을 결정한다. 동시에, 마스크 레이아웃 내의 각각의 에지 세그먼트에 대한 보정량을 결정하기 위해, 마스크 레이아웃 내의 각각의 에지 세그먼트의 이동들의 총체적인 영향을 나타내는 멀티솔버 매트릭스가 사용된다.

Description

광 근접성 보정을 위한 다변수 솔버{MULTIVARIABLE SOLVER FOR OPTICAL PROXIMITY CORRECTION}
본 발명은 일반적으로 포토리소그래피 마스크들에 대한 분해능 향상 기술(resolution enhancement technology)들에 관한 것으로, 특히 광 근접성 보정을 위한 다변수 솔버(multivariable solver)에 관한 것이다.
반도체 산업에서, 마이크로리소그래피(또는 간단히 리소그래피)는 반도체 웨이퍼(예를 들어, 실리콘 또는 GaAs 웨이퍼) 상에 회로 패턴들을 프린트하는 공정이다. 일반적으로, 광학 리소그래피는 반도체 디바이스들 및 평판 디스플레이와 같은 다른 디바이스들의 대량 생산에 사용되는 주요한 기술이다. 이러한 리소그래피는 기판 상에 감광성 레지스트를 노광하기 위해 가시광에서 원자외선(deep ultraviolet)까지의 스펙트럼 범위를 채택한다. 앞으로는, 극자외(EUV) 및 연질 x선(soft x-ray)이 채택될 수 있다. 노광 이후에, 레지스트는 양각 이미지(relief)를 생성하도록 현상된다.
광학 리소그래피에서, 제조될 디바이스 구조체들에 대한 템플레이트(template) 역할을 하는 포토마스크(흔히 마스크 또는 레티클이라 칭함)는, 우선 전자빔 또는 레이저빔 직접-묘화 툴(direct-write tool)들을 이용하여 기록된다. 광학 리소그래피에 대한 전형적인 포토마스크는, 한 표면이 약 100 nm 두께의 얇은 금속층(예를 들어, 크롬)으로 코팅되어 있고, 한 변이 6 내지 8 인치인 유리(또는 석영) 플레이트로 이루어진다. 금속층 내로 디바이스 패턴이 에칭되어, 투명 영역(clear area)들을 통해 광이 전해지게 한다. 금속층이 에칭되지 않은 영역들은 광 투과(light transmission)를 차단한다. 이러한 방식으로, 반도체 웨이퍼 상에 패턴이 투영될 수 있다.
마스크는 웨이퍼 상에 원하는 회로 패턴들을 생성하는데 사용되는 소정 패턴들 및 피처들을 포함한다. 웨이퍼 상에 마스크 이미지를 투영하는데 사용된 툴은 "스테퍼" 또는 "스캐너"라고 칭한다(이하, 집합적으로 "노광 툴"이라고 칭함). 도 1은 종래 노광 툴의 광학 투영 리소그래피 시스템(10)의 다이어그램이다. 시스템(10)은 조명 소스(12), 조명 퓨필 필터(illumination pupil filter: 14), 렌즈 서브시스템(lens subsystem: 16a 내지 16c), 마스크(18), 투영 퓨필 필터(20), 및 마스크(18)의 에어리얼 이미지(aerial image)가 투영되는 웨이퍼(22)를 포함한다. 조명 소스(12)는, 예를 들어 UV(자외선) 또는 DUV(원자외선) 파장들에서 작동하는 레이저 소스일 수 있다. 조명 소스(12)의 광 빔은 확장되고, 조명 퓨필(14) 상에 입사하기 이전에 스크램블(scramble)된다. 조명 퓨필(14)은 단순한 원형 어퍼처(simple round aperture)일 수 있으며, 또는 오프-액시스 조명(off-axis illumination)을 위해 특정하게 설계된 형상들을 가질 수 있다. 오프-액시스 조명은, 예를 들어 환형 조명(annular illumination)[즉, 조명 퓨필(14)이 설계된 내반 경 및 외반경을 갖는 링임], 쿼드러플 조명(quadruple illumination)[즉, 조명 퓨필(14)이 퓨필 평면의 4 개의 사분면 내에 4 개의 개구부(opening)를 가짐], 및 다이폴 조명(dipole illumination)과 같은 다른 조명들을 포함할 수 있다.
조명 퓨필(14) 이후에, 광은 조명 광학기[예를 들어, 렌즈 서브시스템(16a)]를 통과하여 마스크(18) 상에 입사하며, 이는 투영 광학기에 의해 웨이퍼(22) 상에 이미징될 회로 패턴을 포함한다. 웨이퍼(22) 상의 원하는 패턴 크기가 점점 작아지고, 패턴의 피처들이 서로 점점 가까워짐에 따라, 리소그래피 공정은 더 어려워진다. 투영 광학기[예를 들어, 렌즈 서브시스템(16b 및 16c) 및 투영 퓨필 필터(20)]는 웨이퍼(22) 상에 마스크(18)를 이미징한다. 투영 광학기의 퓨필(20)은 투영 광학기 시스템으로 통과될 수 있는 마스크 패턴의 최대 공간 주파수(spatial frequency)를 제한한다. "개구수(numerical aperture)" 또는 NA라 칭하는 숫자는 퓨필(20)의 특징을 나타낸다.
레지스트가 투영된 이미지에 의해 노광된 후, 베이크(bake)되고 현상되는 경우, 레지스트는 복잡한 화학 및 물리 변화들을 겪기 쉽다. 전형적으로, 최종 레지스트 패턴들은 통상적으로 레지스트-기판 경계면(interface)에서 레지스트 피처의 폭으로서 정의되는 그 임계 치수 또는 CD에 의해 특징 지어진다. CD는 통상적으로 주어진 디바이스 내에 패터닝되는 최소 피처를 나타내려고 의도되지만, 실제로 CD라는 용어는 여하한의 레지스트 피처의 라인폭을 설명하는데 사용된다.
대부분의 노광 툴에서, 광학 시스템은 마스크 레벨에서 웨이퍼 레벨까지 감소 인자(reduction factor), 전형적으로 4x 또는 5x만큼 패턴의 크기를 감소시킨 다. 이 때문에, 전형적으로 마스크 레벨에서의 패턴이 웨이퍼 레벨에서 원하는 패턴보다 더 크며, 이는 마스크 레벨에서 요구되는 치수 제어 공차(dimensional control tolerance)를 완화하고, 마스크-형성 공정(mask-making process)의 산출 및 제조능력을 개선한다. 노광 툴의 이 감소 인자는 노광 공정의 "치수"를 언급함에 있어서 약간의 혼란을 야기한다. 본 명세서에서, 피처 크기들 및 치수들은 웨이퍼-레벨 피처 크기들 및 치수들을 언급하며, "최소 피처 크기"는 웨이퍼 레벨에서의 최소 피처를 언급한다.
디바이스를 올바르게 패터닝하는 노광 공정을 위해, 디바이스 내의 모든 결정적(critical)인 구조체들의 CD들은 디자인 타겟 치수들을 달성하도록 패터닝되어야 한다. 그것은 실제로 오차 없이 모든 타겟 CD를 달성하기가 불가능하기 때문에, 디바이스는 CD 오차들에 대해 소정 공차를 이용하여 디자인된다. 이 경우, 패턴은 모든 결정적인 피처들의 CD들이 이 사전설정된 공차들 내에 있는 경우에는 허용가능한 것으로 간주된다. 제조 환경에서 실행가능해야 할 노광 공정을 위해서는, 전체 CD 분포가 팹(fab) 내에서 일어날 것으로 예상되는 전형적인 범위의 공정 변동들을 나타내는 공정 조건들의 전 범위에서 공차 한계들에 포함되어야 한다.
패턴 전사 공정의 적합성(fidelity)을 제한하거나 저하시키는 인자들로는 마스크-형성 공정, 투영 광학기, 레지스트 공정, 및 투영된 광과 웨이퍼 상에 형성된 필름 스택(film stack)들 간의 상호작용의 제어에서의 결함들을 포함한다. 하지만, 완벽한 마스크, 완벽한 광학기, 완벽한 레지스트 시스템 및 완벽한 기판 반사율 제어를 이용하여도, 이미징되는 피처들의 치수가 노광 툴에서 사용되는 광의 파장보 다 작아짐에 따라 이미지 적합성을 유지하기 어려워진다. 193 nm 조명 소스들을 이용하는 노광 공정에 대해서는, 65 nm만큼 작은 피처들이 바람직하다. 이 딥 서브-파장(deep sub-wavelength) 방식에서, 패턴 전사 공정은 매우 비-선형이 되고, 웨이퍼 레벨에서의 최종 패턴의 치수들은 마스크 레벨에서의 패턴의 크기뿐만 아니라, 피처의 국부적인 환경에 있어서도 매우 민감한 함수가 되며, 이때 국부적인 환경은 광 파장의 대략 5 내지 10 배의 반경으로 연장된다. 파장에 비해 매우 작은 피처 크기들이 주어진다면, 심지어 마스크 상의 동일한 구조체들도 이웃한 피처들, 및 심지어 바로 인접한 것이 아니라 노광 툴의 광학기에 의해 정의되는 근접 구역 내에 있는 피처들의 크기들 및 근접성(proximity)들에 따라 상이한 웨이퍼-레벨 치수들을 가질 것이다. 이 광 근접성 효과들은 본 명세서에서 잘 알려져 있다.
이미징 품질을 개선하고, 패턴 전사 공정 내의 높은 비-선형성을 최소화하려는 노력으로, 현재의 처리 기술들은 다양한 분해능 향상 기술들("RET")을 채택한다. 오늘날 사용하는 RET들의 선도 타입들 중 하나는, 근접성 효과들을 극복하기 위한 여하한의 기술에 대한 일반적인 용어인 광 근접성 보정(OPC)이다. OPC의 가장 간단한 형태들 중 하나는 선택적 바이어스(selective bias)이다. 피치 곡선에 대한 CD(CD vs. pitch curve)가 주어지면, 마스크 레벨에서의 CD를 변화시킴으로써, 모든 상이한 피치들이 적어도 최적 포커스 및 노광에서 동일한 CD를 생성하도록 강제될 수 있다. 따라서, 피처가 웨이퍼 레벨에서 너무 작게 프린트되는 경우, 마스크 레벨 피처는 공칭(nominal)보다 약간 더 크게 바이어스될 것이며, 그 역도 가능할 것이다. 마스크 레벨에서 웨이퍼 레벨로의 패턴 전사 공정은 비-선형이기 때문에, 바이어스의 양은 단순히 감소 비율의 최적 포커스 및 노광에서 측정된 CD 오차의 배(time)가 아니라, 모델링 및 실험으로 적절한 바이어스가 결정될 수 있다. 선택적 바이어스는, 특히 공칭 공정 조건에만 적용되는 경우 근접성 효과의 문제점에 대해 불완전한 해결책이다. 이러한 바이어스는 원칙적으로 최적 포커스 및 노광에서 균일한 피치 곡선에 대한 CD를 제공하도록 적용되더라도, 일단 노광 공정이 공칭 조건으로부터 변화하면, 각각의 바이어스된 피치 곡선이 상이하게 응답하여 상이한 피처들에 대해 상이한 공정 윈도우(process window)들을 유도할 것이다. 그러므로, 동일한 피치에 대한 CD를 제공하는 "최적" 바이어스는 심지어 전체 공정 윈도우에 부정적인 영향을 주어, 모든 타겟 피처들이 원하는 공정 공차 내에서 웨이퍼 상에 프린트하는 포커스 및 노광 범위를 증대시키기보다는 감소시킨다.
앞선 1-차원 바이어스 예시를 넘어서는 적용을 위해 다른 더 복잡한 OPC 기술들이 개발되었다. 2-차원 근접성 효과는 라인 단부 쇼트닝(line end shortening)이다. 라인 단부들은 노광 및 포커스의 함수로서 그들의 원하는 단부 지점 위치로부터 "풀백(pull back)"하려는 경향이 있다. 많은 경우, 긴 라인 단부의 단부 쇼트닝 정도는 대응하는 라인 내로우잉(line narrowing)보다 수 배 더 클 수 있다. 이 형태의 라인 단부 풀백은, 라인 단부가 소스-드레인 구역(source-drain region)에 걸친 폴리실리콘 게이트층(polysilicon gate layer)과 같이 그것이 덮으려고 했던 아래놓인 층(underlying layer)에 완전히 교차하지 못하는 경우에 제조되는 디바이스들에 있어서 최악의 고장을 유도할 수 있다. 이 형태의 패턴은 포커스 및 노광에 매우 민감하기 때문에, 단순히 라인 단부를 디자인 길이보다 더 길게 바이어스하는 것은 최적 포커스와 노광에서, 또는 노광이 부족한 조건(underexposed condition)에서의 라인이 지나치게 길어, 연장된 라인 단부가 이웃한 구조체들과 닿음에 따라 합선(short circuit)을 유도하거나, 회로 내의 개별적인 피처들 사이에 더 많은 공간이 추가되는 경우에 불필요하게 큰 회로 크기들을 유도할 것이므로 부적당하다. 집적 회로 디자인 및 제조의 중요한 목적들 중 하나는 기능 요소들의 개수를 최대화하는 한편 칩당 필요한 영역을 최소화하는 것이기 때문에, 여분의 공간을 추가하는 것은 매우 바람직하지 않은 해결책이다.
라인 단부 풀백 문제점을 해결하기 위해 2-차원 OPC 접근법들은 개발되었다. 그 자리에 라인 단부들을 효과적으로 고정(anchor)시키고, 전체 공정 윈도우에 걸쳐 감소된 풀백을 제공하기 위해, 통상적으로 "해머헤드(hammerhead)" 또는 "세리프(serif)"로 알려진 여분의 구조체들[또는 어시스트 피처(assist feature)들]이 라인 단부에 추가된다. 최적 포커스 및 노광에서도, 이 여분의 구조체들은 명확하게 분해(resolve)되지 않으며, 그것들 자체로 완전히 분해되지 않고 주 피처의 모습(appearance)을 변경시킨다. 어시스트 피처들은, 마스크 상의 패턴이 더 이상 감소 비율에 의해 업사이즈(upsize)된 원하는 웨이퍼 패턴이 아닌 정도로, 라인 단부들에 추가된 단순한 해머헤드들보다 훨씬 더 적극적(aggressive)인 형태들을 취할 수 있다. 세리프와 같은 어시스트 피처들은 단순히 라인 단부 풀백을 감소시키는 것보다 더 많은 경우에 적용될 수 있다. 내부 또는 외부 세리프들은 코너 라운딩(corner rounding) 또는 에지 압출(edge extrusion)을 감소시키기 위해 여하한의 에지, 특히 2 차원 에지들에 적용될 수 있다. 모든 크기 및 극성(polarity)에 있어 서 충분한 선택적 바이어스 및 어시스트 피처들을 이용하면, 마스크 상의 피처들은 웨이퍼 레벨에서 원하는 최종 패턴에 점점 닮지 않는다. 일반적으로, 마스크 패턴은 웨이퍼-레벨 패턴의 미리-왜곡된 버전(pre-distorted version)이 되며, 이때 왜곡은 가능한 한 설계자에 의해 의도된 것에 가까운 웨이퍼 상의 패턴을 생성하기 위해, 리소그래피 공정시 발생할 패턴 변형을 상쇄하거나 역으로 하도록 의도된다.
또 다른 OPC 기술에서는, 피처에 세리프와 같은 어시스트 구조체들을 덧붙이는 대신에, 완전히 독립적이고 분해 불가능한(non-resolvable) 어시스트 피처들이 마스크에 추가된다. 이 독립적인 어시스트 피처들은 웨이퍼 상의 피처들로서 프린트되도록 의도되거나 요구되는 것이 아니라, 오히려 주 피처의 프린트 능력(printability) 및 공정 공차를 향상시키기 위해 인접한 주 피처의 에어리얼 이미지를 수정하도록 의도된다. 흔히 "스캐터링 바아(scattering bar)들"로 언급되어, 이 형태의 서브-분해능 어시스트 피처(SRAF)는 마스크에 복잡한 또 다른 층을 추가한다. 스캐터링 바아들을 사용하는 간단한 예시는, 분해 불가능한 스캐터링 바아들의 규칙적인 어레이가 격리된 라인 피처의 양쪽에 그려지는 경우이며, 이는 에어리얼 이미지 관점에서 밀집한 라인들의 어레이 내에서의 단일 라인을 더 나타내도록 격리된 라인이 나타나게 하는 효과를 가지므로, 공정 윈도우를 포커스 및 노광 공차 내에서 밀집한 패턴의 공정 윈도우에 훨씬 더 가깝게 한다. 이러한 꾸며진(decorated) 격리된 피처와 밀집한 패턴 사이에서의 통상의 공정 윈도우는 포커스 및 노광 변형예들에 대해 마스크 레벨에서 격리된 것으로 그려진 피처의 공차보다 통상의 더 큰 공차를 가질 것이다.
다수의 이 OPC 기술들은 분해능 및 공정 윈도우 향상을 위해서도, 단일 마스크 상에서 상이한 위상들이 추가되어 있는 위상-시프팅 구조체들과 함께 사용되어야 한다. 2-차원 구조체들이 이동되어야 하고, 리사이즈(resize)되어야 하며, 어시스트 피처와 향상되어야 하고, 가능하게는 인접한 피처들과의 어떠한 충돌도 야기하지 않고 위상-시프팅되어야 함에 따라, 1-차원 라인을 바이어스하는 간단한 작업이 점점 복잡해진다. 딥 서브-파장 리소그래피의 연장된 근접성 범위로 인해, 피처에 적용된 OPC의 형태의 변화들은 1/2 마이크론 내지 1 마이크론(half a micron to a micron) 내에 위치된 또 다른 피처들에 대해 의도되지 않은 결과들을 가질 수 있다. 이 근접성 범위 내에 많은 피처들이 존재할 수 있기 때문에, OPC 데코레이션(decoration)을 최적화하는 작업이 더 적극적인 접근법들의 추가와 함께 점점 복잡해진다. 디자인에 추가되는 각각의 새로운 피처는 다른 피처들에 영향을 주므로, 이는 차례로 재-보정되어야 하며, 결과들은 각각의 피처가 원래 의도되었던 방식으로 프린트될 수 있는 한편, 동시에 이웃한 피처들도 각각의 공차들 내에서 프린트되도록 적절한 방식으로 이웃한 피처들의 에어리얼 이미지들에 기여하는 마스크 레이아웃에 수렴(converge)하도록 되풀이하여 반복되어야 한다.
이 복잡성 및 피처들 간의 상호작용으로 인해, OPC 기술은 주요한 혁신 분야가 되었고, 많은 기술들이 피처들을 함께 최적화(co-optimization)하기 위해 관리가능한 수의 에지들로 "분할(segment)" 또는 "절단(dissect)"하는 방식, 가장 결정적인 구조체들이 이웃한 피처들 상의 인접한 OPC 어시스트 피처들에 의한 의도되지 않은 왜곡으로부터 훌륭하게 보호되도록 최적 루틴들을 우선시(prioritize)하는 방 식, 피처들 간의 위상 및 배치 충돌들을 분해하는 방식, 원하는 결과들로의 결과적인 피처의 궁극적인 수렴에 대한 계산 속력을 교환(trade off)하는 방식, 및 제조가능한 기술로서 OPC의 전체 구현의 다른 세부 사항들에 대해 폭넓게 설명되었다.
일반적으로, OPC는 규칙(rule)-기반에서 모델-기반 접근법으로 이동되었다. 모델 기반 OPC에서는, 에어리얼 이미지에 대한 노광 툴의 효과 및 레지스트 처리의 효과는 모두 수학적으로 모델링된다. 도 2는 전형적인 모델-기반 OPC 디자인 공정을 나타내는 흐름도이다. 단계 210에서, OPC전(pre-OPC) 레이아웃, OPC 기술 파일, 광학 모델, 및 레지스트 모델이 얻어진다. OPC 기술 파일은 사용되어야 할 모델-기반 OPC 기술들의 형태들, 예를 들어 라인폭 바이어스 보정들, 코너 라운딩 보정들, 또는 라인 단부 풀백 보정들을 설명한다. 광학 모델은 노광 툴의 조명 및 투영 광학기를 설명한다. 또한, 광학 모델은 박막 레지스트로의 이미징의 효과 또는 마스크 토포그래피의 효과를 포함할 수도 있다. 레지스트 모델은 노광 툴 내에서 마스크 패턴에 의해 조명된 이후에 레지스트의 변화들을 설명한다. 또한, 도 2의 방법에서 에칭 모델(etch model)이 사용될 수도 있다. 광학, 레지스트 및 에칭 모델들은 우선적으로 원리들로부터 도출되거나, 경험적으로 실험 데이터로부터 결정되며, 또는 두 조합에 의할 수 있다. 상기 모델들은, 일반적으로 공칭 공정 조건에서 캘리브레이션된다. R.Socha의 "Resolution Enhancement Techniques"(Photomask Fabrication Technology, Benjamin G.Eynon, Jr. and Banqiu Wu, Editors, McGraw-Hill, pp.466-468, 2005)를 참조한다. OPC전 레이아웃, OPC 기술 파일, 및 모델들은 모두 모델-기반 OPC 소프트웨어에 대한 입력들이다.
단계 212에서, 모델-기반 OPC 소프트웨어는 OPC전 레이아웃 내의 피처들을 에지 세그먼트들로 절단하고, 각각의 에지 세그먼트에 제어 지점들을 할당한다. 각각의 피처, 심지어 동일한 형상의 피처들도 상이한 근접성 환경들을 겪을 것이기 때문에, 여하한의 OPC 기술들을 적용하기 이전에 각각의 피처는 절단된다. 제어 지점들(또는 평가 지점들)은, OPC 디자인 공정시 CD 또는 에지 배치 오차(EPE)가 평가될 위치들이다. 제어 지점들의 할당은 OPC전 레이아웃의 패턴 지오메트리 및 광학 모델에 의존하는 복잡한 공정이다. 도 3은 삼각형으로 나타낸 절단 지점들 및 원으로 나타낸 할당된 제어 지점들을 갖는 L-형 피처(310)를 나타낸다.
단계 214에서, 모델-기반 OPC 소프트웨어는 OPC전 레이아웃에 광학 모델 및 레지스트 모델을 적용함으로써, 웨이퍼 상에 프린트된 레지스트 이미지를 시뮬레이트한다. 일반적으로, 시뮬레이트는 광학 모델이 캘리브레이션되었던 공칭 공정 조건에서 수행된다. 단계 216에서, 모델-기반 OPC 소프트웨어는 사전설정된 임계값에 시뮬레이트된 레지스트 이미지 값들을 비교함으로써, 시뮬레이트된 레지스트 이미지의 윤곽(contour)들을 생성한다. 그 후, 모델-기반 OPC 소프트웨어는 디자인 레이아웃이 원하는 패터닝 성능을 산출할지를 결정하기 위해, 모든 제어 지점들에서 시뮬레이트된 윤곽들을 OPC전 레이아웃과 비교한다. 비교들은, 전형적으로 각각의 제어 지점에서 CD 또는 EPE로서 정량화된다. 단계 218에서, 모델-기반 OPC 소프트웨어는 에지 세그먼트 각각의 윤곽 메트릭(metric)에 대한 성능 지수(figure of merit)가 만족하는지를 결정한다. 일 실시예에서, 성능 지수는 에지 세그먼트 각각의 윤곽 메트릭, 예를 들어 CD 또는 EPE에 대한 총 오차가 최소화되는 경우에 만족 한다. 또 다른 실시예에서, 성능 지수는 에지 세그먼트 각각의 윤곽 메트릭에 대한 총 오차가 사전설정된 임계치 미만인 경우에 만족한다. 성능 지수가 만족하는 경우에는 공정이 종료되지만, 성능 지수가 만족하지 않은 경우에는 공정이 단계 220으로 계속된다.
도 4는 2 개의 제어 지점에서 측정된 반대 부호(opposite signs)를 갖는 2 개의 EPE를 나타낸다. 가정되는 시뮬레이트된 레지스트 이미지 윤곽(414)이 제어 지점에서 피처들의 디자인된 지오메트리(412)에 오버랩(overlap)되지 않는 경우, EPE는 제어 지점에서의 차이에 기초하여 결정된다. 도 2로 되돌아가면, 단계 220에서 모델-기반 OPC 소프트웨어는 각각의 제어 지점에서 에지 보정량을 계산한다. i 번째 에지 세그먼트(Ei)의 EPE가 제어 지점(Ci)에서 결정된 ΔEi라고 가정하면, 가장 간단한 에지 보정량 ΔLi는 오차의 반대(negation)다: ΔLi = -ΔEi. 이러한 간단한 보정 함수는, 마스크 상의 변화들이 프린트되는 레지스트 이미지에 선형으로 반영되지 않기 때문에 비-선형 공정들에 대해서 잘 수행되지 않는다. 마스크 오차 인자(MEF)와 같은 비선형성들을 설명하기 위해, 약간 더 복잡한 보정 함수가 사용될 수 있다:
Figure 112008042311777-pat00001
실제 적용에서는, 적절한 보정을 계산하는 방법이 훨씬 더 복잡하며, 보정 알고리즘들은 라인폭 오차, 제작 공정, 보정 목적들 및 제한들과 같은 인자들에 의 존할 수 있다. A.K.Wong의 Resolution Enhancement Techniques in Optical Lithography(SPIE Press, pp.91-115, 2001)를 참조한다. 예를 들어, 피처의 N 개의 에지 세그먼트 및 각각의 에지 세그먼트에 대한 하나의 제어 지점이 존재하고, i 번째 에지 세그먼트에 대한 보정량이 ΔLi라고 가정하면, 궁극적인 목적은 모든 제어 지점들에서 레지스트 이미지 값들 RI(Ci)과 사전설정된 임계값들 T 간의 차이가 다음과 같이 0이 되도록 ΔL1, ΔL2,..., ΔLN을 푸는 것이다:
Figure 112008042311777-pat00002
이때, i는 1,...,N이며, Ci는 제어 지점들이다.
또는 다음 함수를 최소화한다.
Figure 112008042311777-pat00003
그 다음, 단계 222에서 모델-기반 OPC 소프트웨어는 OPC후(post-OPC) 레이아웃을 생성하기 위해 모든 에지 세그먼트들에 대해 계산된 보정량(ΔLi)에 따라 전체 에지 세그먼트(Ei)를 조정하여, 시뮬레이트된 레지스트 이미지 윤곽이 디자인 지오메트리와 매칭하도록 이동한다. 그 후, 상기 방법은 단계 214로 되돌아가며, 이때 모델-기반 OPC 소프트웨어는 단계 222에서 생성된 OPC후 레이아웃을 이용하여 레지스트 이미지를 시뮬레이트한다. 그 후, 단계 216에서 OPC후 레이아웃을 이용하여 생성된 시뮬레이트된 레지스트 이미지에 대해 레지스트 이미지 윤곽들 및 오차가 계산된다. 단계 218에서, 모델-기반 OPC 소프트웨어는 총 EP 오차가 최소화되거나 소정 임계치 미만인지를 결정한다. 총 EP 오차는 다음과 같이 정의될 수 있다:
Figure 112008042311777-pat00004
대안적으로, OPC 목적이 모든 에지 배치 오차가 소정 임계치 미만이어야 하도록 설정될 수 있기 때문에, 총 EP 오차는 모든 세그먼트들 중 최대 EP 오차로서, 즉 다음과 같이 정의될 수 있다:
Figure 112008042311777-pat00005
도 2의 방법에서는, 보정량이 마스크 레이아웃 내의 다른 에지 세그먼트들의 이동으로부터의 영향들은 고려하지 않고, 마스크 레이아웃 내의 각각의 에지 세그먼트에 대해 개별적으로 결정된다. 피처 크기가 감소함에 따라, 개별적인 에지 세그먼트들에 대한 보정량을 해결하는 것은 수렴 문제점들을 겪는다. 상기 레이아웃 내의 다른 에지 세그먼트들의 이동을 고려하는 각각의 에지 세그먼트에 대한 보정량들을 결정하는 기술이 요구된다.
본 발명의 방법은 마스크 레이아웃 내의 에지 세그먼트들의 총체적(collective)인 이동이 상기 레이아웃 내의 제어 지점들에서 레지스트 이미지 값들을 변경시키는 방식을 추적(track)하고, 동시에 상기 레이아웃 내의 각각의 에지 세그먼트에 대한 보정량을 결정한다. 동시에, 마스크 레이아웃 내의 각각의 에지 세그먼트에 대한 보정량을 결정하기 위해, 마스크 레이아웃 내의 각각의 에지 세그먼트의 이동들의 총체적인 영향을 나타내는 멀티솔버 매트릭스(multisolver matrix)가 사용된다.
일 실시예에서, 본 발명의 방법은 제 1 시뮬레이트된 레지스트 이미지를 생성하기 위해 마스크 레이아웃을 이용하여 포토리소그래피 공정을 시뮬레이트하는 단계, 초기 섭동(perturb)된 레이아웃을 생성하기 위해 사전설정된 양에 의해 마스크 레이아웃 내의 각각의 에지 세그먼트를 섭동시키는 단계, 제 2 시뮬레이트된 레지스트 이미지를 생성하기 위해 초기 섭동된 레이아웃을 이용하여 포토리소그래피 공정을 시뮬레이트하는 단계, 각각의 에지 세그먼트에 대해 제 1 시뮬레이트된 레지스트 이미지와 제 2 시뮬레이트된 레지스트 이미지 간의 차이 값을 결정하는 단계, 모든 에지 세그먼트들에 대한 차이 값들을 포함하는 멀티솔버 매트릭스를 생성하는 단계, 멀티솔버 매트릭스의 의사역행렬(pseudoinverse)을 이용하여 보정 델타 벡터(correction delta vector)를 결정하는 단계- 상기 보정 델타 벡터는 각각의 에지 세그먼트에 대한 보정 델타 값을 포함함 -, 또 다른 섭동된 레이아웃을 생성하기 위해 보정 델타 벡터 내의 대응하는 보정 델타 값에 의해 섭동된 레이아웃 내 의 각각의 에지 세그먼트를 섭동시키는 단계, 제 3 시뮬레이트된 레지스트 이미지를 생성하기 위해 또 다른 섭동된 레이아웃을 이용하여 포토리소그래피 공정을 시뮬레이트하는 단계, 각각의 에지 세그먼트에 대한 제 3 시뮬레이트된 레지스트 이미지 값들에 기초하여 멀티솔버 매트릭스를 업데이트하는 단계, 및 업데이트된 멀티솔버 매트릭스의 의사역행렬을 이용하여 보정 델타 벡터를 업데이트하는 단계를 포함한다.
일 실시예에서, 본 발명의 방법은 보정 델타 벡터에 따라 마스크 레이아웃 내의 에지 세그먼트들의 위치들을 조정하기 이전에, 보정 델타 벡터 내의 각각의 값에 대한 댐핑 인자(damping factor)를 곱하는(multiply) 단계를 포함한다.
본 발명의 방법은 마스크 레이아웃 내의 에지 세그먼트들의 총체적인 이동이 상기 레이아웃 내의 제어 지점들에서 레지스트 이미지 값들을 변경시키는 방식을 추적하고, 동시에 상기 레이아웃 내의 각각의 에지 세그먼트에 대한 보정량을 결정한다. 도 5는 에지 세그먼트들 및 제어 지점들을 포함한 접촉 피처들(510 및 512)의 다이어그램이다. 접촉 피처(510)는 그 위치들이 조정될 수 있는 4 개의 에지 세그먼트를 가지며, 각각의 에지 세그먼트는 하나의 제어 지점을 갖는다. 예를 들어, 에지 세그먼트 522는 제어 지점 524를 갖는다. 접촉 피처들(510 및 512)이 비교적 작은 피처들이기 때문에, 에지 세그먼트 예를 들어 에지 세그먼트 522를 이동시키는 것은 그 에지 세그먼트들의 제어 지점(예를 들어, 제어 지점 524)에서의 레지스트 이미지 값에 영향을 주며, 또한 접촉 피처의 다른 3 개의 에지 세그먼트의 제어 지점들에서의 레지스트 이미지 값에도 영향을 준다. 또한, 상기 레이아웃 내에 함께 가깝게 배치되는 접촉 피처들에 대해서도, 하나의 접촉 피처의 에지 세그먼트를 이동시키는 것이 이웃한 접촉 피처들 내의 에지 세그먼트들의 제어 지점들에서의 레지스트 이미지 값들에 영향을 준다. 이웃한 에지 세그먼트들의 이동들로 인한 제어 지점들에서의 레지스트 이미지 값들에 대한 유사한 효과들이 비-접촉층(non-contact layer)들에 대한 마스크 레이아웃들 내에서 관찰될 수 있다.
도 6은 본 발명의 일 실시예에 따른 마스크 레이아웃 내의 에지 세그먼트들에 대한 보정들을 동시에 해결하는 방법 단계들의 흐름도이다. 선택적으로, 큰 마스크 레이아웃에 대해서는, OPC를 적용하기 이전에 상기 레이아웃이 우선, 전형적으로 20 ㎛ x 20 ㎛ 내지 60 ㎛ x 60 ㎛인 패치들로 나누어진다; OPC가 적용된 이후에는 최종 OPC후 레이아웃을 생성하도록 패치들이 함께 조합된다. 단계 610에서, 레지스트 이미지(RI)가 디자인 레이아웃(OPC전 레이아웃)을 이용하여 시뮬레이트된다. 레지스트 이미지는, 그 내용이 본 명세서에서 인용참조되는 U.S. 특허 제 7,003,758호에 개시된 바와 같은 포토리소그래피 시뮬레이션 시스템을 이용하여 시뮬레이트될 수 있다. 단계 612에서, n 개의 이동가능한 에지 세그먼트들이 존재한다고 가정하면, 섭동된 레이아웃을 생성하기 위해 n x 1 벡터
Figure 112008042311777-pat00006
로 명시되는 사전설정된 거리만큼 디자인 레이아웃 내의 에지 세그먼트들이 섭동(즉, 이동)된다. 단계 614에서, 섭동된 레이아웃을 이용하여 레지스트 이미지가 시뮬레이트된다.
단계 616에서, 디자인 레이아웃을 이용하여 생성된 시뮬레이트된 RI 값들과 섭동된 레이아웃을 이용하여 생성된 시뮬레이트된 RI 값들 간의 차이가 각각의 에 지 세그먼트에 대해 결정된다. 이 차이들은 초기 멀티솔버 매트릭스(A0)를 생성하는데 사용된다. 초기 멀티솔버 매트릭스는 n x n 매트릭스이며, 이때 n은 상기 레이아웃 내의 에지 세그먼트들의 개수이고, 이는 몇몇 마스크들에 대해 백만개 이상일 수 있다. 초기 멀티솔버 매트릭스는, i 번째 대각선 엔트리(diagonal entry)- 이때, i = 1,..., N -가 i 번째 세그먼트의 시뮬레이트된 RI 값들 간의 차이들(ΔRIi)을 i 번째 세그먼트의 섭동량(Δc0,i)으로 나눔으로써 계산되는 대각 매트릭스이다. 수학적으로, A0의 i 번째 대각선 엔트리는 다음과 같이 주어진다:
Figure 112008042311777-pat00007
다시 말하면, 초기에는 에지 세그먼트들이 상호작용하지 않는다고 가정된다; 각각의 에지 세그먼트들의 RI 값의 변화들은 그 에지 세그먼트에만 기인한다. 대각 매트릭스로서, A0의 비대각선(off-diagonal) 요소들은 동일하게 0이다. 즉, i≠j에 대해
Figure 112008042311777-pat00008
단계 618에서, 상기 레이아웃 내의 에지 세그먼트들에 대한 보정 델타 벡터는 멀티솔버 매트릭스의 의사역행렬을 이용하여 결정된다. 보정 델타 벡터
Figure 112008042311777-pat00009
는 각각의 에지 세그먼트에 대한 보정 델타 값을 포함한다. 보정 델타 벡터에 대한 값들은, 우선 원하는 RI 값들과 단계 616(또는 추후 단계 622)에서 결정된 최근 시뮬레 이트된 RI 값들의 차이를 계산하고, 멀티솔버 매트릭스의 의사역행렬에 그 차이를 곱함으로써 결정된다:
Figure 112008042311777-pat00010
이때
Figure 112008042311777-pat00011
는 멀티솔버 n x n 매트릭스의 의사역행렬이고,
Figure 112008042311777-pat00012
는 원하는 RI 값들을 나타내는 n x 1 벡터이며,
Figure 112008042311777-pat00013
는 에지 세그먼트들이 벡터
Figure 112008042311777-pat00014
로 명시된 위치 값들로 이동된 경우에 최근 시뮬레이트된 RI 값들을 나타내는 n x 1 벡터이고, k는 단계 618의 반복들의 지표(index)이다.
멀티솔버 매트릭스는 고유(singular)하거나 고유한 것에 가까울 수 있기 때문에, 또는 항상 정사각행렬(square matrix)인 것은 아닐 수 있으므로, RI 값들이 얻어지는 제어 지점의 개수가 조정가능한 에지 세그먼트의 개수와 동일하지 않도록 문제가 발생되는 경우에는, 실제 역(true inverse)이 항상 계산가능한 것은 아닐 수 있기 때문에 멀티솔버 매트릭스의 의사역행렬이 사용된다. 멀티솔버 매트릭스의 의사역행렬(
Figure 112008042311777-pat00015
)은 다음과 같이 정의된다:
Figure 112008042311777-pat00016
이때,
Figure 112008042311777-pat00017
는 멀티솔버 매트릭스의 전치 행렬(transpose)이며, I는 n x n 단위 행렬이고, α는 단위 행렬에 적용되는 조정가능한 양의 곱셈 인자이다. α의 값은 디폴트 값(default value)으로 설정되는 것이 바람직하지만, 도 6의 방법에 있어서 전체 수행을 최적화하도록 조정될 수 있다.
도 6의 방법으로부터 발생하는 멀티솔버 매트릭스는 100만 x 100만 만큼 커 질 수 있기 때문에, 멀티솔버 매트릭스(A)는 3 개의 성분으로 표현되는 것이 바람직하다:
Figure 112008042311777-pat00018
이때, A0는 대각 매트릭스인 초기 멀티솔버 매트릭스이며, P 및 Q는 n이 에지 세그먼트들의 개수이고 p가 P 및 Q의 열(column)들의 개수(이때, p<<n)인 n x p 매트릭스들이다. 초기에 p는 0이므로, P 및 Q 매트릭스들은 초기에 비어 있다(empty). 단계 624와 관련하여 아래에서 설명되는 바와 같이, P 및 Q의 열들은 멀티솔버 매트릭스에 대한 업데이트들로 채워진다. 업데이트들의 수는 반복 횟수와 함께 증가하기 때문에, 선택적으로 매트릭스들(P 및 Q)에 저장되는 업데이트들의 최대 수가 제한될 수 있다. 예를 들어, p의 최대값이 pmax로 제한될 수 있으며, pmax-가장 최근 업데이트들이 저장된다. 이 3 개의 성분으로 멀티솔버 매트릭스를 표현하는 것은 멀티솔버 매트릭스의 효율적인 저장을 허용하며, 또한 아래에서 설명되는 바와 같이 보정 델타 벡터의 효율적인 계산을 허용한다. 예를 들어, n = 1,000,000이고 p = 10이라고 가정하면, 부동소수점(floating-point)을 이용하는 n x n 멀티솔버 매트릭스(A)를 저장하는 것은, n x n x 4 = 4 조 바이트(trillion byte)를 소비할 것이다. 대조적으로, 초기 멀티솔버 매트릭스(A0) 및 매트릭스들 P 및 Q의 대각선 엔트리들을 저장하는 데에는 (n + n x p x 2) x 4 = 84백만 바이트만을 소비할 것이다.
중간(interim) 매트릭스들의 생성을 이용하면, 보정 델타 벡터가 다음과 같 이 계산된다:
Figure 112008042311777-pat00019
여기서, Λ-1 ≡ (αI + A0A0)-1, 대각 매트릭스; C ≡ [Q A0P], n x 2p 매트릭스; G ≡ Λ-1C, n x 2p 매트릭스; 및
Figure 112008042311777-pat00020
, 2p x 2p 매트릭스이다. 우선 3 개의 성분으로 멀티솔버 매트릭스를 표현하고 중간 매트릭스들을 생성함으로써, 보정 델타 벡터의 계산이 효율적으로 수행된다. 명확하게는, (D-1 + CTG)가 2p x 2p 매트릭스이기 때문에 앞선 수학식에서 (D-1 + CTG)의 역이 빠르게 계산될 수 있으며, 이때 p는 전형적으로 10이다. 예를 들어, n = 400,000이고 p = 10이라고 가정하면, 보정 델타 벡터는 400,000 x 400,000 매트릭스의 역을 계산하는 대신에, 앞선 수학식을 이용하여 20 x 20 매트릭스의 역을 계산함으로써 결정된다. 앞선 수학식을 이용하여 멀티솔버 매트릭스가 400,000 x 400,000 매트릭스인 보정 델타 벡터를 계산하는 것은 약 1 초 내에 수행될 수 있다. 대조적으로, 원시적인 방법(brute force method)을 이용하여 400,000 x 400,000 매트릭스의 역을 계산하는 것은 2 년 넘게 걸릴 것이다. 계산을 더 빠르게 하기 위해, D의 역은 다음과 같이 분석적으로 계산될 수 있다:
Figure 112008042311777-pat00021
일 실시예에서는, 각각의 반복에서
Figure 112008042311777-pat00022
을 최소화하는 보정 델타 벡터(
Figure 112008042311777-pat00023
)가 계산된다. 에지 세그먼트들에 상이한 우선권(priority)들 또는 가중치(weighting factor)들을 할당하는 것이 가능하다. 대각선 상에 음이 아닌 가중치들이 나타나는 대각 매트릭스(W)가 도입되며, 이때
Figure 112008042311777-pat00024
가 최소화된다. 일 실시예에서, 보정 델타 벡터를 계산하는 경우 A 및
Figure 112008042311777-pat00025
의 수가 다음과 같이 교체된다:
Figure 112008042311777-pat00026
최적화된 매트릭스 표현
Figure 112008042311777-pat00027
를 이용한 또 다른 실시예에서는, 보정 델타 벡터를 계산하는 경우 A0, P, 및
Figure 112008042311777-pat00028
의 수가 다음과 같이 교체된다:
Figure 112008042311777-pat00029
디폴트에 의해, 매트릭스 W는 단위 행렬과 같다. 각각의 반복에서, i 번째 세그먼트에 대응하는 W의 i 번째 대각선에 큰 가중치(>>1)가 할당되는 경우, i 번째 세그먼트의 EPE가 가중치에 의해 증폭되기 때문에, 바람직하게는 i 번째 세그먼트의 EPE가 남은 세그먼트들에 대한 EPE보다 더 감소될 것이다.
단계 620에서, 보정 델타 벡터에 댐핑 인자가 적용되고, 레이아웃 내의 에지 세그먼트들은 댐핑된 보정 델타 벡터 내의 값들에 의해 이동되어, 에지 세그먼트들의 다음 위치는 다음과 같이 된다:
Figure 112008042311777-pat00030
댐핑 인자는, 에지 세그먼트들의 다음 위치가 너무 지나치지(aggressive) 않도록 보정 델타 벡터에 적용되는 0과 1 사이의 값이다. 그 후, 단계 622에서 레지스트 이미지가 레이아웃의 최근 버전을 이용하여 시뮬레이트된다. 단계 624에서는, 에지 세그먼트들의 위치 변화들에 의해 야기된 RI 값들의 변화들에 기초하여 멀티솔버 매트릭스가 업데이트된다. RI 값들에 대한 보정 값들의 변화들에 선형으로 관련되는 멀티솔버 매트릭스가 에지 세그먼트들이 이동되는 경우에 변화하기 때문에, 멀티솔버 매트릭스를 업데이트하는 것은 필수적이다. 그 후, 멀티솔버 매트릭스(A)를 업데이트하기 위해, 벡터
Figure 112008042311777-pat00031
Figure 112008042311777-pat00032
로서 정의되고 벡터
Figure 112008042311777-pat00033
Figure 112008042311777-pat00034
로서 정의되는 경우, 매트릭스 P에 새로운 열로서
Figure 112008042311777-pat00035
Figure 112008042311777-pat00036
가 추가되고 매트릭스 Q에 새로운 열로서
Figure 112008042311777-pat00037
가 추가된다. 업데이트의 결과로서, 초기에 대각선인 멀티솔버 매트릭스(A)는 더 이상 대각선이 아니다. i 번째 행(row)과 j 번째 열에서의 멀티솔버 매트릭스의 값은 j 번째 에지 세그먼트의 위치 변화에 의해 야기된 i 번째 에지 세그먼트의 RI 값의 선형 변화로서 해석될 수 있다. A가 A ≡ A0 + PQT로 표현되지 않고 그 대신에 직접 사용되는 경우, 업데이트된 멀티솔버 매트릭스는 다 음과 같이 얻어질 수 있다:
Figure 112008042311777-pat00038
Figure 112008042311777-pat00039
단계 626에서, 레이아웃 내의 에지 세그먼트들의 위치들의 조정이 완료되었는지가 결정된다. 일 실시예에서, 단계들(618 내지 624)에 있어서 사전설정된 수의 반복이 끝난 경우에, 조정들이 완료된다. 사전설정된 수의 반복들이 끝나지 않은 경우에는, 상기 방법이 단계 618로 되돌아간다. 또 다른 실시예에서, 조정들은 성능 지수가 만족한 경우에 완료된다. 성능 지수는 레이아웃에 대해 제곱된 에지 배치 오차들의 합이 최소화되거나 사전설정된 임계치 미만인 경우에 만족할 수 있다. 성능 지수가 만족하지 않은 경우, 상기 방법은 단계 618로 되돌아간다. 조정들이 완료되는 경우, 단계 628에서 레이아웃의 최근 버전이 OPC후 레이아웃으로서 사용되며, 이는 전형적으로 마스크를 제조하는데 사용된다.
도 6의 방법은 소프트웨어 또는 하드웨어 내에 구현될 수 있다. 소프트웨어 내에 구현된 도 6의 방법의 실시예들은 여하한의 적절한 컴퓨터 시스템 상에서 실행될 수 있다. 도 6의 방법은 여하한 타입의 마스크층, 예를 들어 접촉층 및 폴리층(poly layer), 및 여하한 타입의 마스크, 예를 들어 브라이트-필드 마스크(bright-field mask) 또는 다크-필드 마스크(dark-field mask)에 대한 어떠한 마스크 레이아웃에도 적용될 수 있다. 또한, 도 6의 방법은 더블-다이폴(double-dipole) 조명 포토리소그래피에서 사용되는 마스크들에 대한 마스크 레이아웃에도 적용될 수 있다. 보정 델타 벡터들은, 낮은 콘트라스트에서의 에어리얼 이미지가 0 이고 두 마스크들로부터의 레지스트 이미지 값들이 0이 되도록 더블-다이폴 조명에서 사용되는 2 개의 마스크 레이아웃들 각각에 대해 결정될 수 있다.
도 7은 종래의 단일-변수 솔버 및 도 6의 방법에 의해 결정된 접촉 피처들 내의 전형적인 에지 세그먼트에 대한 레지스트 이미지 값들 대(versus) 반복 횟수의 플롯들의 다이어그램이다. 나타낸 바와 같이, 도 6의 멀티솔버 방법을 이용하여 결정된 보정들로부터 발생한 RI 값들이 종래의 단일-변수 솔버(29 번 반복에서)보다 원하는 RI 값인 0에 더 빨리(11 번 반복에서) 수렴한다.
도 8은 종래의 단일-변수 솔버를 이용하여 6 번의 OPC 반복 이후에 결정된 OPC 보정들을 적용한 이후의 에지 배치 오차들의 히스토그램이다. 도 8 내에 나타낸 분포는 1.0 내지 4.2 nm의 범위이며, 상기 분포는 0.61 nm의 제곱 평균(RMS) 값을 갖는다. 도 9는 도 6의 방법을 이용하여 6 번의 OPC 반복 이후에 결정된 OPC 보정들을 적용한 이후의 에지 배치 오차들의 히스토그램이다. 도 9에 나타낸 분포는 1.0 내지 1.7 nm의 범위이며, 상기 분포는 0.11 nm의 RMS 값을 갖는다. 따라서, 에지 세그먼트들에 대한 보정들을 결정하기 위해 도 6의 다변수-솔버 방법을 이용하는 것이 더 적은 에지 배치 오차 "결점들"을 생성하고, 에지 배치 오차들의 분포가 더 좁다.
이상, 본 발명은 특정 실시예들에 관하여 설명되었다. 하지만, 첨부된 청구항들에서 설명되는 본 발명의 더 넓은 의도 및 범위를 벗어나지 않고, 본 명세서에서 다양한 변형 및 변화들이 수행될 수 있음을 분명히 알 것이다. 따라서, 앞선 설명 및 도면들은 제한적인 것보다는 예시적인 것으로 간주되어야 한다.
도 1은 리소그래피 시스템의 일 실시예의 다이어그램;
도 2는 모델-기반 OPC에 대한 방법 단계들의 흐름도;
도 3은 에지 세그먼트들 및 제어 지점들을 포함한 피처의 다이어그램;
도 4는 에지 배치 오차들을 나타내는 피처 및 가정되는 시뮬레이트된 레지스트 이미지의 다이어그램;
도 5는 에지 세그먼트들 및 제어 지점들을 포함한 접촉(contact) 피처들의 다이어그램;
도 6은 본 발명의 일 실시예에 따른 마스크 레이아웃 내의 에지 세그먼트들에 대한 보정들을 동시에 해결하는 방법 단계들의 흐름도;
도 7은 종래의 단일-변수 솔버 및 도 6의 방법에 의해 결정된 접촉 피처들 내의 전형적인 에지 세그먼트에 대한 레지스트 이미지 값들 대(versus) 반복 횟수의 플롯들의 다이어그램;
도 8은 종래의 단일-변수 솔버를 이용하여 결정된 OPC 보정들을 적용한 이후의 에지 배치 오차들의 히스토그램; 및
도 9는 도 6의 방법을 이용하여 결정된 OPC 보정들을 적용한 이후의 에지 배치 오차들의 히스토그램이다.

Claims (18)

  1. 제 1 시뮬레이트된 레지스트 이미지를 생성하기 위해, 마스크 레이아웃(mask layout)을 이용하여 포토리소그래피 공정을 시뮬레이트하는 단계;
    초기 섭동(perturb)된 레이아웃을 생성하기 위해, 사전설정된 양에 의해 상기 마스크 레이아웃 내의 복수의 에지 세그먼트(edge segment)를 섭동시키는 단계;
    제 2 시뮬레이트된 레지스트 이미지를 생성하기 위해, 상기 초기 섭동된 레이아웃을 이용하여 상기 포토리소그래피 공정을 시뮬레이트하는 단계;
    복수의 에지 세그먼트에 대해, 상기 제 1 시뮬레이트된 레지스트 이미지와 상기 제 2 시뮬레이트된 레지스트 이미지 간의 레지스트 이미지 차이 값을 결정하는 단계;
    모든 에지 세그먼트들에 대한 상기 레지스트 이미지 차이 값들을 포함하는 멀티솔버 매트릭스(multisolver matrix)를 생성하는 단계;
    상기 멀티솔버 매트릭스의 의사역행렬(pseudoinverse)을 이용하여 보정 델타 벡터(correction delta vector)를 결정하는 단계- 상기 보정 델타 벡터는 복수의 에지 세그먼트에 대한 보정 델타 값을 포함함 -;
    또 다른 섭동된 레이아웃을 생성하기 위해, 상기 보정 델타 벡터 내의 대응하는 보정 델타 값에 의해 상기 섭동된 레이아웃 내의 복수의 에지 세그먼트를 섭동시키는 단계;
    제 3 시뮬레이트된 레지스트 이미지를 생성하기 위해, 상기 또 다른 섭동된 레이아웃을 이용하여 상기 포토리소그래피 공정을 시뮬레이트하는 단계;
    복수의 에지 세그먼트에 대한 상기 제 3 시뮬레이트된 레지스트 이미지 값들에 기초하여 상기 멀티솔버 매트릭스를 업데이트하는 단계; 및
    상기 업데이트된 멀티솔버 매트릭스의 의사역행렬을 이용하여, 상기 보정 델타 벡터를 업데이트하는 단계를 포함하여 이루어지는 방법.
  2. 제 1 항에 있어서,
    상기 멀티솔버 매트릭스의 의사역행렬(A+)은 A+ = (αI + AT A)-1AT로서 정의되며, 이때 AT는 상기 멀티솔버 매트릭스의 전치 행렬(transpose)이고, I는 단위 행렬이며, α는 상기 단위 행렬에 적용된 조정가능한 양의 곱셈 인자인 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서,
    초기 멀티솔버 매트릭스(A0)는 i 번째 대각선 엔트리(diagonal entry)가
    Figure 112010035773730-pat00040
    에 의해 결정되는 대각 매트릭스이며, 이때 Δc0,i는 상기 i 번째 에지 세그먼트의 섭동량이고, ΔRIi는 상기 섭동의 결과로서 상기 i 번째 에지 세그먼트에 대한 레지스트 이미지 값의 변화인 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    상기 멀티솔버 매트릭스(A)는
    Figure 112010035773730-pat00041
    로서 표현되며, 이때 A0는 대각 매트릭스인 초기 멀티솔버 매트릭스이고, P 및 Q는 n이 에지 세그먼트들의 개수이고 p가 P 및 Q의 열(column)들의 개수인 n x p 매트릭스들인 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서,
    상기 초기 멀티솔버 매트릭스(A0)는 상기 i 번째 대각선 엔트리가
    Figure 112010035773730-pat00042
    에 의해 결정되는 대각 매트릭스이며, 이때 Δc0,i는 상기 i 번째 에지 세그먼트의 섭동량이고, ΔRIi는 상기 섭동의 결과로서 상기 i 번째 에지 세그먼트에 대한 레지스트 이미지 값의 변화인 것을 특징으로 하는 방법.
  6. 제 2 항에 있어서,
    보정 델타 벡터를 결정하는 단계는
    Figure 112010035773730-pat00043
    를 포함하며, 이때
    Figure 112010035773730-pat00044
    는 상기 멀티솔버 n x n 매트릭스의 의사역행렬이고,
    Figure 112010035773730-pat00045
    는 원하는 레지스트 이미지 값들을 나타내는 n x 1 벡터이며,
    Figure 112010035773730-pat00046
    는 상기 에지 세그먼트들이 상기 벡터
    Figure 112010035773730-pat00047
    로 명시된 위치 값들로 이동된 경우에 최근 시뮬레이트된 레지스트 이미지 값들을 나타내는 n x 1 벡터이고, k는 반복 횟수인 것을 특징으로 하는 방법.
  7. 제 4 항에 있어서,
    보정 델타 벡터를 결정하는 단계는
    Figure 112010035773730-pat00048
    를 포함하며, 이때 Λ-1 ≡ (αI + A0A0)-1, 대각 매트릭스; C ≡ [Q A0P], n x 2p 매트릭스; G ≡ Λ-1C, n x 2p 매트릭스;
    Figure 112010035773730-pat00049
    , 2p x 2p 매트릭스이고;
    Figure 112010035773730-pat00050
    는 원하는 레지스트 이미지 값들을 나타내는 n x 1 벡터이며;
    Figure 112010035773730-pat00051
    는 상기 에지 세그먼트들이 상기 벡터
    Figure 112010035773730-pat00052
    로 명시된 위치 값들로 이동된 경우에 최근 시뮬레이트된 레지스트 이미지 값들을 나타내는 n x 1 벡터이고; k는 반복 횟수인 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서,
    상기 보정 델타 벡터 내의 각각의 값에 댐핑 인자(damping factor)를 적용하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제 4 항에 있어서,
    상기 멀티솔버 매트릭스를 업데이트하는 단계는:
    Figure 112010035773730-pat00053
    인 경우, P에 새로운 열로서
    Figure 112010035773730-pat00054
    를 추가하고 Q에 새로운 열로서
    Figure 112010035773730-pat00055
    를 추가하는 단계를 포함하며, 이때
    Figure 112010035773730-pat00056
    Figure 112010035773730-pat00057
    로서 정의되고
    Figure 112010035773730-pat00058
    Figure 112010035773730-pat00059
    로서 정의되며,
    Figure 112010035773730-pat00060
    는 k 번째 반복에서의 상기 에지 세그먼트들의 위치들을 명시하는 벡터인 것을 특징으로 하는 방법.
  10. 제 2 항에 있어서,
    상기 멀티솔버 매트릭스를 업데이트하는 단계는:
    Figure 112010035773730-pat00061
    인 경우,
    Figure 112010035773730-pat00062
    를 포함하며, 이때
    Figure 112010035773730-pat00063
    Figure 112010035773730-pat00064
    로서 정의되고
    Figure 112010035773730-pat00065
    Figure 112010035773730-pat00066
    로서 정의되며,
    Figure 112010035773730-pat00067
    는 k 번째 반복에서의 상기 에지 세그먼트들의 위치들을 명시하는 벡터인 것을 특징으로 하는 방법.
  11. 제 1 항에 있어서,
    상기 마스크 레이아웃은 접촉층(contact layer)의 레이아웃인 것을 특징으로 하는 방법.
  12. 제 1 항에 있어서,
    상기 마스크 레이아웃은 폴리층(poly layer)의 레이아웃인 것을 특징으로 하는 방법.
  13. 제 1 항에 있어서,
    상기 에지 세그먼트들에 음이 아닌 가중치(weighting factor)들이 할당되는 것을 특징으로 하는 방법.
  14. 제 2 항에 있어서,
    상기 보정 델타 벡터를 결정하는 단계가
    Figure 112010035773730-pat00068
    을 포함하도록 상기 에지 세그먼트들에 음이 아닌 가중치들이 할당되고, 이때 Ak는 k 번째 반복에서의 멀티솔버 매트릭스이며; I는 단위 행렬이고;
    Figure 112010035773730-pat00069
    는 원하는 레지스트 이미지 값들을 나타내는 n x 1 벡터이며;
    Figure 112010035773730-pat00070
    는 상기 에지 세그먼트들이 상기 벡터
    Figure 112010035773730-pat00071
    로 명시된 위치 값들로 이동된 경우에 최근 시뮬레이트된 레지스트 이미지 값들을 나타내는 n x 1 벡터이고; k는 반복 횟수이며; W는 대각선 상에 음이 아닌 가중치들을 갖는 대각 매트릭스인 것을 특징으로 하는 방법.
  15. 제 4 항에 있어서,
    상기 보정 델타 벡터를 결정하는 단계가
    Figure 112010035773730-pat00072
    을 포함하도록 상기 에지 세그먼트들에 음이 아닌 가중치들이 할당되며, 이때 Λ-1 ≡ (αI + WA0WA0)-1, 대각 매트릭스; C ≡ [Q WA0WP], n x 2p 매트릭스; G ≡ Λ-1C, n x 2p 매트릭스;
    Figure 112010035773730-pat00073
    , 2p x 2p 매트릭스이고;
    Figure 112010035773730-pat00074
    는 원하는 레지스트 이미지 값들을 나타내는 n x 1 벡터이며;
    Figure 112010035773730-pat00075
    는 상기 에지 세그먼트들이 상기 벡터
    Figure 112010035773730-pat00076
    로 명시된 위치 값들로 이동된 경우에 최근 시뮬레이트된 레지스트 이미지 값들을 나타내는 n x 1 벡터이고; k는 반복 횟수이며; W는 대각선 상에 음이 아닌 가중치들을 갖는 대각 매트릭스인 것을 특징으로 하는 방법.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 따른 방법을 수행하는 명령어들을 포함하는 컴퓨터-판독가능한 매체.
  17. 제 1 항 내지 제 15 항 중 어느 한 항에 따른 방법에 의해 에지 세그먼트들의 위치가 결정되는 상기 에지 세그먼트들을 포함하는 마스크 레이아웃에 대한 마스크 이미지 데이터.
  18. 제 1 항 내지 제 15 항 중 어느 한 항에 따른 방법에 의해 에지 세그먼트들의 위치가 결정되는 상기 에지 세그먼트들을 포함하는 마스크 레이아웃을 갖는 마스크.
KR1020080055668A 2007-06-15 2008-06-13 광 근접성 보정을 위한 다변수 솔버 KR100982800B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/764,128 2007-06-15
US11/764,128 US7707538B2 (en) 2007-06-15 2007-06-15 Multivariable solver for optical proximity correction

Publications (2)

Publication Number Publication Date
KR20080110530A KR20080110530A (ko) 2008-12-18
KR100982800B1 true KR100982800B1 (ko) 2010-09-16

Family

ID=39930743

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080055668A KR100982800B1 (ko) 2007-06-15 2008-06-13 광 근접성 보정을 위한 다변수 솔버

Country Status (7)

Country Link
US (4) US7707538B2 (ko)
EP (1) EP2053460A2 (ko)
JP (2) JP4746649B2 (ko)
KR (1) KR100982800B1 (ko)
CN (2) CN101359170B (ko)
SG (1) SG148945A1 (ko)
TW (2) TWI418954B (ko)

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5001638B2 (ja) * 2006-12-22 2012-08-15 株式会社オーク製作所 露光データ作成装置
US7788630B2 (en) * 2007-03-21 2010-08-31 Synopsys, Inc. Method and apparatus for determining an optical model that models the effect of optical proximity correction
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7711504B2 (en) * 2007-07-23 2010-05-04 The Board Of Regents, University Of Texas System Method and system for performing optical proximity correction with process variations considerations
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
CN102135723B (zh) * 2010-01-21 2012-09-05 上海华虹Nec电子有限公司 基于衬底刻蚀后图形对本层光刻图形进行修正的方法
JP2012134951A (ja) * 2010-11-30 2012-07-12 Canon Inc 撮像装置及びその制御方法
KR101833017B1 (ko) 2011-02-15 2018-04-13 삼성전자 주식회사 포토 마스크의 제조 방법
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US20140013286A1 (en) * 2012-07-05 2014-01-09 Macronix International Co., Ltd. Method for manufacturing a mask
US8745550B2 (en) * 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
JP2014041976A (ja) * 2012-08-23 2014-03-06 Toshiba Corp レシピ管理装置
US9165095B2 (en) * 2013-11-15 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Target point generation for optical proximity correction
US9189588B2 (en) * 2013-12-10 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polygon-based optical proximity correction
JP2015146398A (ja) * 2014-02-04 2015-08-13 株式会社東芝 加工変換差予測装置、加工変換差予測方法および加工変換差予測プログラム
US10430543B2 (en) 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
KR102343850B1 (ko) 2015-05-06 2021-12-28 삼성전자주식회사 광 근접 보정에서 공통의 바이어스 값을 이용하여 마스크를 제작하는 방법
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US10527928B2 (en) 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
WO2019048506A1 (en) 2017-09-08 2019-03-14 Asml Netherlands B.V. METHODS OF LEARNING OPTICAL CORRECTION OF PROXIMITY ERROR ASSISTED BY AUTOMATIC APPRENTICESHIP
CN111149063B (zh) 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
US11137690B2 (en) 2017-10-11 2021-10-05 Asml Netherlands B.V. Flows of optimization for patterning processes
US10671052B2 (en) * 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR102525162B1 (ko) * 2017-12-18 2023-04-24 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
WO2019158682A1 (en) 2018-02-18 2019-08-22 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
CN111868625B (zh) 2018-03-19 2024-01-23 Asml荷兰有限公司 用于确定图案形成装置的曲线图案的方法
WO2019214909A1 (en) 2018-05-07 2019-11-14 Asml Netherlands B.V. Method for determining an electromagnetic field associated with a computational lithography mask model
KR20230141951A (ko) 2018-06-04 2023-10-10 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
CN112424694B (zh) 2018-07-12 2023-10-20 Asml荷兰有限公司 利用图案识别以自动地改良sem轮廓测量准确度和稳定性
US20220351359A1 (en) 2018-08-15 2022-11-03 Asml Netherlands B.V. Utilize machine learning in selecting high quality averaged sem images from raw images automatically
KR102653951B1 (ko) * 2018-09-06 2024-04-02 삼성전자주식회사 반도체 소자의 레이아웃 패턴 분할 방법 및 이를 포함하는 광 근접 보정 방법
KR20200028169A (ko) * 2018-09-06 2020-03-16 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
WO2020078844A1 (en) 2018-10-19 2020-04-23 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
CN113168556A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于降低机器学习模型预测中的不确定性的方法
US11580289B2 (en) 2018-11-30 2023-02-14 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
KR102656552B1 (ko) 2018-12-28 2024-04-12 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
CN113260925A (zh) 2018-12-31 2021-08-13 Asml荷兰有限公司 确定图案形成装置的光学特性的分量的子集
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US20220134480A1 (en) 2019-02-19 2022-05-05 Asml Holding N.V. Laser roughening: engineering the roughness of the burl top
KR20210116613A (ko) * 2019-02-21 2021-09-27 에이에스엠엘 네델란즈 비.브이. 마스크에 대한 광학 근접 보정을 결정하기 위한 머신 러닝 모델의 트레이닝 방법
US20220113632A1 (en) 2019-02-27 2022-04-14 Asml Netherlands B.V. Gauge selection for model calibration
US11747739B2 (en) 2019-03-03 2023-09-05 Asml Netherlands Method and apparatus for imaging using narrowed bandwidth
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
WO2020187578A1 (en) 2019-03-21 2020-09-24 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3953765B1 (en) 2019-04-09 2023-01-11 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
KR20210145258A (ko) 2019-04-30 2021-12-01 에이에스엠엘 네델란즈 비.브이. 포토리소그래피 이미징을 위한 장치 및 방법
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
US20220276563A1 (en) 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
KR20220042450A (ko) 2019-08-08 2022-04-05 에이에스엠엘 네델란즈 비.브이. 포토리소그래피 이미징을 위한 장치 및 방법
CN112415855B (zh) * 2019-08-22 2022-04-12 长鑫存储技术有限公司 Opc修正方法及opc修正装置
KR20220038167A (ko) 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
WO2021043551A1 (en) 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
US11150551B2 (en) * 2019-10-15 2021-10-19 Samsung Electronics Co., Ltd. Method for optical proximity correction in which consistency is maintained and method for manufacturing mask using the same
KR20220073828A (ko) 2019-11-01 2022-06-03 에이에스엠엘 네델란즈 비.브이. 모델 베이스 정렬들을 위한 기계 학습 기반 이미지 생성
US20220390832A1 (en) 2019-11-19 2022-12-08 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
TWI729593B (zh) * 2019-11-27 2021-06-01 台灣積體電路製造股份有限公司 積體電路之製造方法
CN112882348B (zh) * 2019-11-29 2022-10-21 长鑫存储技术有限公司 一种光学临近效应修正方法及装置
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和系统
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
WO2021165419A1 (en) 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
CN115190985A (zh) 2020-03-03 2022-10-14 Asml荷兰有限公司 基于机器学习的亚分辨率辅助特征放置
CN115516381A (zh) 2020-05-09 2022-12-23 Asml荷兰有限公司 确定衬底上的图案的部分的指标
CN115668203A (zh) 2020-06-02 2023-01-31 Asml荷兰有限公司 验证掩模设计的自由曲线特征
EP4162322A1 (en) 2020-06-03 2023-04-12 ASML Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
WO2021249720A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Aberration impact systems, models, and manufacturing processes
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
CN115885216A (zh) 2020-08-19 2023-03-31 Asml荷兰有限公司 用于基于图像的图案选择的系统、产品和方法
CN115917438A (zh) 2020-08-19 2023-04-04 Asml荷兰有限公司 用于从原始图像自动选择高品质图像的设备和方法
US20230333483A1 (en) 2020-09-25 2023-10-19 Asml Netherlands B.V. Optimization of scanner throughput and imaging quality for a patterning process
US20230332669A1 (en) 2020-09-30 2023-10-19 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
CN116391157A (zh) 2020-10-23 2023-07-04 Asml荷兰有限公司 用于产生掩模图案的方法
KR20220078124A (ko) 2020-12-03 2022-06-10 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
KR20230117366A (ko) 2020-12-18 2023-08-08 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법
CN116635785A (zh) 2020-12-21 2023-08-22 Asml荷兰有限公司 用于图案区域的基于特征的单元提取
KR20230147096A (ko) 2021-02-23 2023-10-20 에이에스엠엘 네델란즈 비.브이. 타겟 패턴 및 기준 층 패턴을 사용하여 마스크에 대한 광 근접 보정을 결정하는 기계 학습 모델
WO2022189180A1 (en) 2021-03-08 2022-09-15 Asml Netherlands B.V. Method of pattern selection for a semiconductor manufacturing related process
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
CN117597627A (zh) 2021-07-06 2024-02-23 Asml荷兰有限公司 确定局部化图像预测误差以改进预测图像的机器学习模型
KR20240031314A (ko) 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택
KR20240035491A (ko) 2021-07-21 2024-03-15 에이에스엠엘 네델란즈 비.브이. 광학 컬럼의 열적으로 안정적인 장착을 위한 시스템 및 방법
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
WO2023016752A1 (en) 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005017551A (ja) 2003-06-25 2005-01-20 Matsushita Electric Ind Co Ltd 近接効果補正の検証方法及び検証装置
JP2005148176A (ja) 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd 近接効果補正方法及び近接効果補正装置
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JP3583559B2 (ja) * 1996-09-30 2004-11-04 株式会社ルネサステクノロジ 光近接効果補正方法
JP3551660B2 (ja) * 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
JP4160203B2 (ja) * 1998-07-23 2008-10-01 株式会社東芝 マスクパターン補正方法及びマスクパターン補正プログラムを記録した記録媒体
US6301697B1 (en) * 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6868175B1 (en) * 1999-08-26 2005-03-15 Nanogeometry Research Pattern inspection apparatus, pattern inspection method, and recording medium
US6721938B2 (en) * 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
AU2003274370A1 (en) * 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US6973633B2 (en) * 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US6928634B2 (en) * 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4357287B2 (ja) * 2003-12-18 2009-11-04 株式会社東芝 修正指針の発生方法、パターン作成方法、マスクの製造方法、半導体装置の製造方法及びプログラム
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
EP1797098B1 (en) * 2004-09-08 2011-05-04 Nycomed GmbH 3-oxa-10-aza-phenanthrenes as pde4 or pde3/4 inhibitors
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7349066B2 (en) * 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
JP5045927B2 (ja) * 2005-07-08 2012-10-10 株式会社ニコン 露光方法及び露光装置、並びにデバイス製造方法
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7523435B2 (en) * 2005-12-01 2009-04-21 Intel Corporation Pixelated masks for high resolution photolithography
US7703067B2 (en) * 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005017551A (ja) 2003-06-25 2005-01-20 Matsushita Electric Ind Co Ltd 近接効果補正の検証方法及び検証装置
JP2005148176A (ja) 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd 近接効果補正方法及び近接効果補正装置
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model

Also Published As

Publication number Publication date
CN101359170A (zh) 2009-02-04
TWI418954B (zh) 2013-12-11
SG148945A1 (en) 2009-01-29
CN101359170B (zh) 2011-09-14
JP4746719B2 (ja) 2011-08-10
US8291352B2 (en) 2012-10-16
US20080309897A1 (en) 2008-12-18
US8448099B2 (en) 2013-05-21
CN102221776A (zh) 2011-10-19
KR20080110530A (ko) 2008-12-18
US20130311959A1 (en) 2013-11-21
JP2008310333A (ja) 2008-12-25
US20130042212A1 (en) 2013-02-14
US8938699B2 (en) 2015-01-20
JP2011076119A (ja) 2011-04-14
CN102221776B (zh) 2013-03-13
EP2053460A2 (en) 2009-04-29
JP4746649B2 (ja) 2011-08-10
US20100161093A1 (en) 2010-06-24
TW201329653A (zh) 2013-07-16
TW200916972A (en) 2009-04-16
US7707538B2 (en) 2010-04-27
TWI396055B (zh) 2013-05-11

Similar Documents

Publication Publication Date Title
KR100982800B1 (ko) 광 근접성 보정을 위한 다변수 솔버
KR102644214B1 (ko) 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
US7694267B1 (en) Method for process window optimized optical proximity correction
US9619607B2 (en) Method and apparatus for cost function based simultaneous OPC and SBAR optimization
US9292627B2 (en) System and method for modifying a data set of a photomask
US7882480B2 (en) System and method for model-based sub-resolution assist feature generation
KR100958714B1 (ko) 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
JP5198588B2 (ja) モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置
TWI630468B (zh) 用以改良微影程序之電腦實施方式及電腦程式產品
US9779186B2 (en) Methods for performing model-based lithography guided layout design
EP1197802B1 (en) Method of optical proximity correction
CN116391157A (zh) 用于产生掩模图案的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150828

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160902

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170901

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180831

Year of fee payment: 9