TWI396055B - 光學近接校正之多變數解算器 - Google Patents

光學近接校正之多變數解算器 Download PDF

Info

Publication number
TWI396055B
TWI396055B TW097122247A TW97122247A TWI396055B TW I396055 B TWI396055 B TW I396055B TW 097122247 A TW097122247 A TW 097122247A TW 97122247 A TW97122247 A TW 97122247A TW I396055 B TWI396055 B TW I396055B
Authority
TW
Taiwan
Prior art keywords
matrix
solver
resist image
layout
edge segments
Prior art date
Application number
TW097122247A
Other languages
English (en)
Other versions
TW200916972A (en
Inventor
William S Wong
Been Der Chen
Jiangwei Li
Tatsuo Nishibe
Yen-Wen Lu
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW200916972A publication Critical patent/TW200916972A/zh
Application granted granted Critical
Publication of TWI396055B publication Critical patent/TWI396055B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

光學近接校正之多變數解算器
本發明大體係關於用於光微影光罩之解析度增強技術,且更特定而言,係關於一種用於光學近接校正之多變數解算器。
在半導體工業中,顯微蝕刻術(或簡單地為微影術)為將電路圖案列印於半導體晶圓(例如,矽晶圓或GaAs晶圓)上之過程。當前,光學微影術為用於半導體裝置及其他裝置(諸如,平板顯示器)之量產製造中的主要技術。該微影術使用可見至深紫外線光譜範圍內之光以將感光抗蝕劑曝光於基板上。未來,可使用遠紫外線(EUV)及軟性x射線。在曝光之後,將抗蝕劑顯影以得到浮雕影像。
在光學微影術中,使用電子束或雷射束直寫工具來首先寫入用作用於待製造之裝置結構之模板的光罩(通常被稱作光罩或主光罩)。用於光學微影術之典型光罩在一側上由為六至八英吋之玻璃(或石英)板組成,其中一表面塗覆有為約100 nm之厚度的薄金屬層(例如,鉻)。將裝置圖案蝕刻至金屬層中,因此允許光透射穿過清晰區域。金屬層未經蝕刻掉之區域阻擋光透射。以此方式,可將圖案投影至半導體晶圓上。
光罩含有用以在晶圓上建立所要電路圖案之特定圖案及特徵。用於將光罩影像投影至晶圓上之工具被稱作"步進器"或"掃描器"(在下文中被統稱作"曝光工具")。圖1為習 知曝光工具之光學投影微影系統10的簡圖。系統10包括照明源12、照明光瞳濾光器14、透鏡子系統16a-c、光罩18、投影光瞳濾光器20及晶圓22,光罩18之空中影像投影於晶圓22上。照明源12可為(例如)在UV(紫外線)或DUV(深紫外線)波長下操作之雷射源。照明源12之光束在其入射於照明光瞳14上之前經放大及擾頻。照明光瞳14可為簡單圓形孔徑,或可具有用於離軸照明之特定設計形狀。離軸照明可包括(例如)環形照明(亦即,照明光瞳14為具有經設計之內半徑及外半徑的環)、四重照明(亦即,照明光瞳14在光瞳平面之四個象限中具有四個開口),及其他照明(諸如,偶極照明)。
在照明光瞳14之後,光穿過照明光學器件(例如,透鏡子系統16a)且入射於光罩18上,其含有待藉由投影光學器件而成像於晶圓22上之電路圖案。隨著晶圓22上之所要圖案大小變得愈來愈小且圖案之特徵變得彼此愈來愈接近,微影過程變得更具挑戰性。投影光學器件(例如,透鏡子系統16b及16c,及投影光瞳濾光器20)將光罩18成像至晶圓22上。投影光學器件之光瞳20限制可穿過投影光學器件系統之光罩圖案的最大空間頻率。被稱作"數值孔徑"或NA之數通常特性化光瞳20。
當抗蝕劑由經投影影像曝光且此後經烘烤及顯影時,抗蝕劑趨向於經歷複雜的化學及物理改變。通常,最終抗蝕劑圖案係藉由其通常被界定為抗蝕劑特徵在抗蝕劑-基板界面處之寬度的臨界尺寸或CD而特性化。儘管CD通常意 欲表示給定裝置中所圖案化之最小特徵,但實務上,使用術語CD來描述任何抗蝕劑特徵之線寬。
在大多數曝光工具中,光學系統以減縮因數(通常為4×或5×)來將圖案之大小自光罩級減縮至晶圓級。由於此,在光罩級下之圖案通常大於在晶圓級下之所要圖案,其放鬆在光罩級下所需要之尺寸控制容許度且改良光罩製造過程之良率及可製造性。曝光工具之此減縮因數在涉及曝光過程之"尺寸"時引入特定混淆。本文中,特徵大小及尺寸指代晶圓級特徵大小及尺寸,且"最小特徵大小"指代在晶圓級下之最小特徵。
對於用以正確地圖案化裝置之曝光過程,裝置中之所有臨界結構的CD必須經圖案化以達成設計目標尺寸。因為實際上不可能無誤差地達成每一目標CD,所以在針對CD誤差之特定容許度下設計裝置。在此情況下,若所有臨界特徵之CD均在此等預定容許度內,則認為圖案為可接受的。對於在製造環境中為可行之曝光過程,完整CD分布必須橫跨表示預期發生於製造中之過程變化之典型範圍的過程條件範圍而在容許度極限內。
限制或降級圖案轉印過程之保真度的因素包括光罩製造過程中、投影光學器件中、抗蝕劑過程中及經投影光與形成於晶圓上之薄膜堆疊之間的交互作用之控制中的不完美性。然而,即使在完美光罩、完美光學器件、完美抗蝕劑系統及完美基板反射率控制之情況下,隨著經成像之特徵的尺寸變得小於用於曝光工具中之光的波長,影像保真度 仍變得難以維持。對於使用193 nm照明源之曝光過程,需要小至65 nm之特徵。在此深次波長狀態中,圖案轉印過程變得高度非線性,且在晶圓級下之最終圖案的尺寸變得不僅為在光罩級下之圖案之大小的極敏感函數,而且為特徵之局部環境的極敏感函數,其中局部環境延伸出至為約略五至十倍於光之波長的半徑。在給定與波長相比為極小之特徵大小的情況下,光罩上之甚至相同結構將視相鄰特徵及甚至不直接鄰近但仍在由曝光工具之光學器件所界定之近接區域內之特徵的大小及近接而具有不同晶圓級尺寸。此等光學近接效應在文獻中為熟知的。
在圖案轉印過程中改良成像品質及最小化高非線性之努力中,當前處理技術使用各種解析度增強技術("RET")。現今所使用之主要類型之RET中的一者為光學近接校正(OPC),其為用於旨在克服近接效應之任何技術的一般術語。最簡單形式之OPC中的一者為選擇性偏壓。在給定CD對比間距曲線之情況下,所有不同間距均可能經強制藉由在光罩級下改變CD來產生相同CD(至少在最佳聚焦及曝光下)。因此,若特徵在晶圓級下列印過小,則光罩級特徵將經偏壓為稍微大於標稱,且反之亦然。因為自光罩級至晶圓級之圖案轉印過程為非線性,所以偏壓量不簡單地為在最佳聚焦及曝光下之經量測CD誤差乘減縮比,但在模型化及實驗之情況下,可判定適當偏壓。選擇性偏壓為近接效應問題之不完善解決方案(特別在其僅在標稱過程條件下被應用時)。即使該偏壓原則上可經應用以在最佳聚 焦及曝光下給出均一CD對比間距曲線,但一旦曝光過程自標稱條件變化,每一經偏壓間距曲線便將不同地回應,從而導致針對不同特徵之不同過程視窗。因此,用以給出相同CD對比間距之"最佳"偏壓可甚至對整個過程視窗具有負面影響,從而減縮而非擴大聚焦及曝光範圍,在該聚焦及曝光範圍內,所有目標特徵均在所要過程容許度內列印於晶圓上。
已開發其他更複雜OPC技術以用於除了以上一維偏壓實例以外之應用。二維近接效應為線端縮短。線端具有作為曝光及聚焦之函數而自其所要端點位置"回拉"的趨向。在許多情況下,長線端之端縮短程度可比對應線窄化大若干倍。若線端未能完全橫越其意欲覆蓋之下伏層(諸如,源極-汲極區域上之多晶矽閘極層),則此類型之線端回拉可導致經製造之裝置的災難性故障。因為此類型之圖案對聚焦及曝光高度敏感,所以簡單地將線端偏壓為長於設計長度為不足的,因為在最佳聚焦及曝光下或在曝光不足條件下之線將過長,從而導致經延伸線端觸碰相鄰結構時之短路,或在更多空間添加於電路中之個別特徵之間時之不必要地大的電路大小。因為積體電路設計及製造之關鍵目標中的一者為最大化功能元件之數目,同時最小化每晶片所需要之面積,所以添加過度間距為高度不良的解決方案。
已開發二維OPC方法以幫助解決線端回拉問題。被稱作"錘頭"或"對線"之額外結構(或輔助特徵)常規地添加至線端,以有效地將其錨定於適當位置且在整個過程視窗上提 供經減縮回拉。甚至在最佳聚焦及曝光下,此等額外結構亦不被清晰地解析,且其更改主要特徵之外觀而不獨自地被完全解析。輔助特徵可採取比添加至線端之簡單錘頭更具侵略性之形式,其程度為光罩上之圖案不再簡單地為藉由減縮比而增加大小之所要晶圓圖案。諸如對線之輔助特徵可應用於比簡單地減縮線端回拉更多的情況。內部或外部對線可應用於任何邊緣(特別為二維邊緣),以減縮轉角變圓或邊緣擠壓。在充分選擇性偏壓及所有大小及極性之輔助特徵的情況下,光罩上之特徵與在晶圓級下所需要之最終圖案愈來愈不相似。一般而言,光罩圖案變為晶圓級圖案之預失真版本,其中失真意欲抵消或反向將在微影過程期間發生之圖案變形,以在晶圓上產生儘可能地接近於由設計者所意欲之圖案的圖案。
在另一OPC技術中,代替將諸如對線之輔助結構附加至特徵,將完全獨立且不可解析之輔助特徵添加至光罩。此等獨立輔助特徵不意欲或需要作為特徵列印於晶圓上,而是意欲修改附近主要特徵之空中影像,以增強主要特徵之可列印性及過程容許度。通常被稱作"散射條",此類型之次解析度輔助特徵(SRAF)將又一層複雜性添加至光罩。散射條之使用的簡單實例為在隔離線特徵之兩側上繪製不可解析散射條規則陣列的情況,其具有自空中影像觀點使隔離線呈現為更表示密集線陣列內之單線的效應,從而導致過程視窗在聚焦及曝光容許度內更接近於密集圖案之過程視窗。該經裝飾隔離特徵與密集圖案之間的共同過程視窗 將具有比在光罩級下所隔離的經繪製之特徵之對聚焦及曝光變化之共同容許度大的共同容許度。
此等OPC技術中之許多者必須一起用於單一光罩上,其中針對解析度及過程視窗增強而同樣加入不同相位之相移結構。因為二維結構必須藉由輔助特徵而移動、調整大小、增強且可能在不導致與鄰接特徵之任何衝突的情況下相移,所以偏壓一維線之簡單任務變得愈加複雜。歸因於深次波長微影術之經延伸近接範圍,應用於特徵之OPC之類型的改變可具有針對位於半微米至一微米內之另一特徵的無意結果。因為在此近接範圍內可能存在許多特徵,所以最佳化OPC裝飾之任務隨著更具侵略性方法之添加而變得愈加複雜。向設計所添加之每一新特徵具有對其他特徵之效應,其接著必須被依次重新校正,且結果必須被重複地迭代以收斂至光罩布局,其中每一特徵可以其原先所意欲之方式來列印,而同時以適當方式來促進其相鄰特徵之空中影像,使得空中影像亦在其各別容許度內被列印。
歸因於此複雜性及特徵之間的相互交互作用,OPC技術已變為主要革新領域,且許多技術已關於以下各項而被廣泛地描述:如何將特徵"分段"或"分解"成用於協同最佳化之可管理數目的邊緣;如何優先化最佳化常式,使得大多數臨界結構經最佳保護以免由相鄰特徵上之附近OPC輔助特徵所引起的無意失真;如何解析特徵之間的相位及置放衝突;如何權衡計算速度對比所得特徵至所要結果之最終收斂;及OPC作為可製造技術之完整建構的其他細節。
OPC通常已自基於規則之方法發展成基於模型之方法。在基於模型之OPC中,數學上模型化曝光工具對空中影像之效應及抗蝕劑處理之效應。圖2為展示典型之基於模型之OPC設計過程的流程圖。在步驟210中,獲得預OPC布局、OPC技術檔案、光學模型及抗蝕劑模型。OPC技術檔案描述待使用之基於模型之OPC技術的類型,例如,線寬偏壓校正、轉角變圓校正或線端回拉校正。光學模型描述曝光工具之照明及投影光學器件。光學模型亦可包括成像至薄膜抗蝕劑中之效應或光罩構形之效應。抗蝕劑模型描述抗蝕劑在由曝光工具中之光罩圖案照明之後的改變。蝕刻模型亦可用於圖2之方法中。光學模型、抗蝕劑模型及蝕刻模型可自第一原理導出、自實驗資料依經驗判定,或兩者之組合。通常在標稱過程條件下校準模型。見R.Socha之"Resolution Enhancement Techniques"(McGraw-Hill之Photomask Fabrication Technology,2005年第466-468頁,編輯者為Benjamin G.Eynon,Jr.及Banqiu Wu)。預OPC布局、OPC技術檔案及模型均輸入至基於模型之OPC軟體。
在步驟212中,基於模型之OPC軟體將預OPC布局中之特徵分解成邊緣區段且將控制點指派至每一邊緣區段。因為每一特徵(甚至相同形狀之特徵)將經受不同近接環境,所以在應用任何OPC技術之前分解每一特徵。控制點(或評估點)為將在OPC設計過程期間評估CD或邊緣置放誤差(EPE)的位置。控制點之指派為視預OPC布局之圖案幾何形狀及 光學模型而定之複雜過程。圖3展示具有由三角形所表示之分解點及由圓形所表示之經指派控制點的L形特徵310。
在步驟214中,基於模型之OPC軟體藉由將光學模型及抗蝕劑模型應用於預OPC布局而在晶圓上模擬經列印抗蝕劑影像。一般而言,在已校準光學模型之標稱過程條件下執行模擬。在步驟216中,基於模型之OPC軟體藉由比較經模擬抗蝕劑影像值與預定臨限值來產生經模擬抗蝕劑影像之輪廓。基於模型之OPC軟體接著在所有控制點處比較經模擬輪廓與預OPC布局,以判定設計布局是否將傳遞所要圖案化效能。比較通常在每一控制點處經量化為CD或EPE。在步驟218中,基於模型之OPC軟體判定是否滿足每一邊緣區段之輪廓度量的優值。在一實施例中,當最小化每一邊緣區段之輪廓度量(例如,CD或EPE)的總誤差時滿足優值。在另一實施例中,當每一邊緣區段之輪廓度量的總誤差低於預定臨限值時滿足優值。若滿足優值,則過程結束,但若未滿足優值,則過程繼續進行步驟220。
圖4展示具有在兩個控制點處所量測之相反標記的兩個EPE。若經假定之經模擬抗蝕劑影像輪廓414在控制點處不與特徵之經設計幾何形狀412重疊,則基於該控制點處之差異來判定EPE。返回至圖2,在步驟220中,基於模型之OPC軟體在每一控制點處計算邊緣校正量。若假定第i邊緣區段之EPE(E i )為在控制點Ci 處所判定之△E i ,則最簡單邊緣校正量△L i 為誤差之負數:△L i =-△E i 。該直接校正函數不良好地作用於非線性過程,因為在經列印抗蝕劑影像中不 線性地反映光罩上之改變。為瞭解決非線性(諸如,光罩誤差因數(MEF)),可使用稍微更複雜之校正函數:
在實際應用中,計算適當校正之方法更為複雜,且校正演算法可視諸如線寬誤差、製造過程、校正目標及約束之因素而定。見A.K.Wong之Resolution Enhancement Techniques in Optical Lithography(SPIE Press,2001年第91-115頁)。舉例而言,若假定存在特徵之N個邊緣區段及每一邊緣區段之一個控制點,且針對第i邊緣區段之校正量為△L i ,則最終目標為解算△L 1 、△L 2 、...、△L N ,使得在所有控制點處抗蝕劑影像值RI (C i )與預定臨限值T 之間的差等於零,如下:RI (C i )-T=0(對於i=1、...、N),其中Ci 為控制點。 或最小化函數:
緊接著,在步驟222中,基於模型之OPC軟體根據針對所有邊緣區段之經計算校正量△L i 來調整整個邊緣區段Ei 以產生後OPC布局,使得經模擬抗蝕劑影像輪廓移動以匹配設計幾何形狀。接著,方法返回至步驟214,其中基於模型之OPC軟體使用步驟222中所產生之後OPC布局來模擬抗蝕劑影像。接著,在步驟216中,針對使用後OPC布局所產生之經模擬抗蝕劑影像來計算抗蝕劑影像輪廓及誤差。在步驟218中,基於模型之OPC軟體判定總EP誤差是否經最小化或低於特定臨限值。總EP誤差可界定為: 或者,總EP誤差可界定為所有區段之最大EP誤差,亦即, 因為OPC目標可經設定成使得所有邊緣置放誤差必須低於特定臨限值。
在圖2之方法中,針對光罩布局中之每一邊緣區段來個別地判定校正量,而不考慮來自布局中之其他邊緣區段之移動的效應。隨著特徵大小減小,解算針對個別邊緣區段之校正量經歷收斂問題。所需要的為一種考慮到布局中之其他邊緣區段之移動之用於判定針對每一邊緣區段之校正量的技術。
本發明之方法追蹤光罩布局中之邊緣區段的集體移動如何更改布局中之控制點處的抗蝕劑影像值,且同時判定針對布局中之每一邊緣區段的校正量。表示光罩布局中之每一邊緣區段之移動之集體效應的多解算器矩陣用以同時判定針對光罩布局中之每一邊緣區段的校正量。
在一實施例中,本發明之方法包括:使用光罩布局來模擬光微影過程以產生第一經模擬抗蝕劑影像;以預定量來擾動光罩布局中之每一邊緣區段以產生初始經擾動布局;使用初始經擾動布局來模擬光微影過程以產生第二經模擬抗蝕劑影像;針對每一邊緣區段來判定第一經模擬抗蝕劑 影像與第二經模擬抗蝕劑影像之間的差值;針對所有邊緣區段來建立包括差值之多解算器矩陣;使用多解算器矩陣之擬似逆推來判定校正德耳塔(delta)向量,其中校正德耳塔向量包括針對每一邊緣區段之校正德耳塔值;以校正德耳塔向量中之對應校正德耳塔值來擾動經擾動布局中之每一邊緣區段以建立另一經擾動布局;使用另一經擾動布局來模擬光微影過程以產生第三經模擬抗蝕劑影像;針對每一邊緣區段而基於第三經模擬抗蝕劑影像值來更新多解算器矩陣;及使用經更新多解算器矩陣之擬似逆推來更新校正德耳塔向量。
在一實施例中,本發明之方法包括:在根據校正德耳塔向量來調整光罩布局中之邊緣區段的位置之前,將減幅因數倍增至校正德耳塔向量中之每一值。
本發明之方法追蹤光罩布局中之邊緣區段的集體移動如何更改布局中之控制點處的抗蝕劑影像值,且同時判定針對布局中之每一邊緣區段的校正量。圖5為包括邊緣區段及控制點之接觸特徵510及512的簡圖。接觸特徵510具有位置可經調整之四個邊緣區段,且每一邊緣區段具有一控制點。舉例而言,邊緣區段522具有控制點524。因為接觸特徵510及512為相對較小特徵,所以移動邊緣區段(例如,邊緣區段522)會影響該邊緣區段之控制點(例如,控制點524)處的抗蝕劑影像值,且亦會影響接觸特徵之其他三個邊緣區段之控制點處的抗蝕劑影像值。且對於一起接近 地置放於布局中之接觸特徵,移動一接觸特徵之邊緣區段亦會影響相鄰接觸特徵中之邊緣區段之控制點處的抗蝕劑影像值。可在用於非接觸層之光罩布局中觀測到歸因於相鄰邊緣區段之移動而對控制點處之抗蝕劑影像值的類似效應。
圖6為根據本發明之一實施例的用於同時解算針對光罩布局中之邊緣區段之校正之方法步驟的流程圖。視情況,對於大光罩布局,在應用OPC之前,首先將布局細分成補片(patch),其面積通常為20 μm×20 μm至60 μm×60 μm;在已應用OPC之後,將補片組合在一起以產生最終後OPC布局。在步驟610中,使用設計布局(預OPC布局)來模擬抗蝕劑影像(RI)。可使用諸如美國專利第7,003,758號中所揭示之光微影模擬系統的光微影模擬系統來模擬抗蝕劑影像,該專利之標的物以引用的方式完整地併入本文中。在步驟612中,假定存在n 個可移動邊緣區段,則以由n ×1向量所指定之預定距離來擾動(亦即,移動)設計布局中之邊緣區段,以產生經擾動布局。在步驟614中,使用經擾動布局來模擬抗蝕劑影像。
在步驟616中,針對每一邊緣區段來判定使用設計布局所產生之經模擬RI值與使用經擾動布局所產生之經模擬RI值之間的差。此等差用以建立初始多解算器矩陣A 0 。初始多解算器矩陣為n ×n 矩陣,其中n 為布局中之邊緣區段的數目,其對於某些光罩可大於一百萬。初始多解算器矩陣為對角線矩陣,其中第i對角線項目(其中I=1、...、N)經計算 為第i區段之經模擬RI值之間的差△RI i 除以第i區段之擾動量△c 0,i 。數學上,A 0 之第i對角線項目由以下方程式給出: 換言之,初始地,假定邊緣區段不交互作用;每一邊緣區段之RI值的改變僅歸結於該邊緣區段。作為對角線矩陣,A 0 之離對角線項目相同地為零。亦即,[A 0 ] ij =0對於ij .
在步驟618中,使用多解算器矩陣之擬似逆推來判定針對布局中之邊緣區段的校正德耳塔向量。校正德耳塔向量包括針對每一邊緣區段之校正德耳塔值。藉由首先計算所要RI值與在步驟616中(或稍後在步驟622中)中所判定之最新經模擬RI值之間的差且接著將多解算器矩陣之擬似逆推乘以差來判定校正德耳塔向量之值: 其中為多解算器n ×n 矩陣之擬似逆推,為表示所要RI值之n ×1向量,為表示當邊緣區段已移動至如由向量所指定之位置值時之最新經模擬RI值的n ×1向量,且k為步驟618之迭代的指數。
使用多解算器矩陣之擬似逆推,因為多解算器矩陣可為單數或接近於單數,或因為其可能不始終為正方矩陣,所以若問題經一般化成使得獲得RI值之控制點的數目與可調整邊緣區段之數目不相同,則實際逆推可能不始終為可計算的。如下界定多解算器矩陣之擬似逆推 其中為多解算器矩陣之轉置,In ×n 單位矩陣,且α為應用於單位矩陣之可調整正乘法因數。α之值較佳地經設定至預設值,但其可經調整以最佳化圖6之方法的整體效能。
因為由圖6之方法所產生之多解算器矩陣可增長為大至1百萬×1百萬,所以多解算器矩陣A 較佳地表達為三個分量: 其中A 0 為初始多解算器矩陣,其為對角線矩陣;PQn ×p 矩陣,其中n 為邊緣區段之數目,且pPQ 之行的數目,其中p <<n 。因為初始地p =0,所以矩陣PQ 初始地為空。如以下結合步驟624所描述,藉由對多解算器矩陣之更新來增加PQ 之行。當更新之數目隨著迭代之數目而增加時,視情況可限制儲存於矩陣PQ 中之更新的最大數目。舉例而言,可將p 之最大值限於p max ,且儲存p max 最近更新。將多解算器矩陣表達為此等三個分量會允許多解算器矩陣之有效儲存且亦允許如以下所描述之校正德耳塔向量的有效計算。舉例而言,假定n =1,000,000且p =10,則為了按原狀儲存n ×n 多解算器矩陣A ,使用浮點表示將消耗n ×n ×4=4兆位元組。對比而言,儲存初始多解算器矩陣A 0 及矩陣PQ 之對角線項目將僅消耗(nn ×p ×2)×4=84百萬位元組。
在建立中間矩陣之情況下,如下計算校正德耳塔向量: 其中Λ-1 ≡(αIA 0 A 0 )-1 ,其為對角線矩陣;,其為n ×2p 矩陣;G≡Λ-1 C,其為n ×2p 矩陣;且,其為2p ×2p 矩陣。藉由首先將多解算器矩陣表達為三個分量且建立中間矩陣,有效地執行計算校正德耳塔向量。具體而言,可快速地計算以上方程式中之(D -1C T G )的逆推,因為(D -1C T G )為2p ×2p 矩陣,其中p 通常等於10。舉例而言,假定n =400,000及p =10,則藉由計算20×20矩陣之逆推代替計算400,000×400,000矩陣之逆推而使用以上方程式來判定校正德耳塔向量。可在約一秒內執行使用以上方程式來計算校正德耳塔向量,其中多解算器矩陣為400,000×400,000矩陣。對比而言,使用蠻力方法來僅僅逆推400,000×400,000矩陣將花費超過兩年時間。為了進一步加速計算,D 之逆推可分析上計算為: 在一實施例中,在每一迭代下,計算最小化之校正德耳塔向量。有可能將不同優先權或加權因數指派至邊緣區段。引入非負加權因數呈現於對角線上之對角線矩陣W ,其中最小化。在一實施例中,當計算校正德耳塔向量時,量A利用以下被替換:AWA
在使用經最佳化矩陣表示,之另一實施例中,當計算校正德耳塔向量時,量A 0 P利用以下被替換:A 0W A 0 PW P 藉由預設,矩陣W 等於單位矩陣。若將較大加權因數(>>1)指派至對應於第i 區段之W 的第i 對角線,則在每一迭代下,第i 區段之EPE將較佳地比針對剩餘區段之EPE減縮得多,因為第i 區段之EPE由加權因數放大。
在步驟620中,將減幅因數應用於校正德耳塔向量,且以經減幅校正德耳塔向量中之值來移動布局中之邊緣區段,使得邊緣區段之下一位置為:
減幅因數為零與一之間的值,其應用於校正德耳塔向量,使得邊緣區段之下一位置不過於具侵略性。接著,在步驟622中,使用布局之最新版本來模擬抗蝕劑影像。在步驟624中,基於由邊緣區段之位置改變所導致的RI值之改變來更新多解算器矩陣。更新多解算器矩陣為必要的,因為當移動邊緣區段時,使校正值之改變與RI值線性地相關的多解算器矩陣改變。若向量界定為且向量界定為,則為了更新多解算器矩陣A,若,,則作為新行添加至矩陣P作為新行添加至矩陣Q 。 由於更新,初始對角線多解算器矩陣A 不再為對角線的。在第i列及第j行處多解算器矩陣之值可解譯為由第j邊緣區段之位置改變所導致的第i邊緣區段之RI值之線性改變。若A 未表達為AA 0PQ T 但代替地直接被使用,則若,則經更新多解算器矩陣可被獲得為:
在步驟626中,判定是否完成布局中之邊緣區段之位置的調整。在一實施例中,當已完成步驟618-624之預定數目之迭代時,完成調整。在尚未完成預定數目之迭代時,方法返回至步驟618。在另一實施例中,當滿足優值時,完成調整。當針對布局所平方之邊緣置放誤差之和經最小化或低於預定臨限值時,可滿足優值。若未滿足優值,則方法返回至步驟618。若完成調整,則在步驟628中,將布局之最新版本用作後OPC布局,其通常接著用以製造光罩。
可以軟體或以硬體來建構圖6之方法。以軟體所建構的圖6之方法的實施例可執行於任何適當電腦系統上。圖6之方法可應用於針對任何類型之光罩層(例如,接觸層及聚層(poly layer))及任何類型之光罩(例如,亮視野光罩或暗視野光罩)的任何光罩布局。圖6之方法亦可應用於針對雙偶極照明光微影中所使用之光罩的光罩布局。可針對雙偶極照明中所使用之兩個光罩布局中的每一者來判定校正德耳塔向量,使得在低對比度下之空中影像等於零且來自兩 個光罩之抗蝕劑影像值等於零。
圖7為由先前技術單變數解算器及由圖6之方法所判定的抗蝕劑影像值對比接觸特徵中之典型邊緣區段之迭代數的曲線圖。如所展示,由使用圖6之多解算器方法所判定之校正所產生的RI值比先前技術單變數解算器(在迭代29下)快速地(在迭代11下)收斂至為零之所要RI值。
圖8為在六個OPC迭代之後應用使用先前技術單變數解算器所判定之OPC校正之後之邊緣置放誤差的直方圖。圖8所示之分布的範圍為自1.0 nm至4.2 nm,且分布具有為0.61 nm之均方根(RMS)值。圖9為在六個OPC迭代之後應用使用圖6之方法所判定之OPC校正之後之邊緣置放誤差的直方圖。圖9所示之分布的範圍為自1.0 nm至1.7 nm,且分布具有為0.11 nm之RMS值。因此,使用圖6之多變數解算器方法來判定針對邊緣區段之校正產生了較少邊緣置放誤差"缺陷",且邊緣置放誤差之分布較窄。
以上已參考特定實施例來描述本發明。然而,將為明顯的是,可在不脫離如隨附申請專利範圍中所闡明之本發明之較廣泛精神及範疇的情況下對其進行各種修改及改變。因此,前述描述及圖式應在說明性而非限制性意義上被考慮。
10‧‧‧光學投影微影系統
12‧‧‧照明源
14‧‧‧照明光瞳濾光器
16a‧‧‧透鏡子系統
16b‧‧‧透鏡子系統
16c‧‧‧透鏡子系統
18‧‧‧光罩
20‧‧‧投影光瞳濾光器
22‧‧‧晶圓
310‧‧‧L形特徵
412‧‧‧特徵之經設計幾何形狀
414‧‧‧經模擬抗蝕劑影像輪廓
510‧‧‧接觸特徵
512‧‧‧接觸特徵
522‧‧‧邊緣區段
524‧‧‧控制點
圖1為微影系統之一實施例的簡圖;圖2為用於基於模型之OPC之方法步驟的流程圖;圖3為包括邊緣區段及控制點之特徵的簡圖; 圖4為展示邊緣置放誤差之特徵及經假定之經模擬抗蝕劑影像的簡圖;圖5為包括邊緣區段及控制點之接觸特徵的簡圖;圖6為根據本發明之一實施例的用於同時解算針對光罩布局中之邊緣區段之校正之方法步驟的流程圖;圖7為由先前技術單變數解算器及由圖6之方法所判定的抗蝕劑影像值對比接觸特徵中之典型邊緣區段之迭代數的曲線圖;圖8為在應用使用先前技術單變數解算器所判定之OPC校正之後之邊緣置放誤差的直方圖;及圖9為在應用使用圖6之方法所判定之OPC校正之後之邊緣置放誤差的直方圖。
(無元件符號說明)

Claims (47)

  1. 一種用以判定校正量之方法,其包含:使用一光罩布局來模擬一光微影過程以產生一第一經模擬抗蝕劑(resist)影像;以一預定量來擾動該光罩布局中之每一邊緣區段以產生一初始經擾動布局;使用該初始經擾動布局來模擬該光微影過程以產生一第二經模擬抗蝕劑影像;針對每一邊緣區段來判定該第一經模擬抗蝕劑影像與該第二經模擬抗蝕劑影像之間的一差異抗蝕劑影像值;針對所有邊緣區段來建立一包括該等差異抗蝕劑影像值之多解算器矩陣(multisolver matrix);使用該多解算器矩陣之一擬似逆推(pseudoinverse)來判定一校正德耳塔向量(delta vector),其中該校正德耳塔向量包括針對每一邊緣區段之一校正德耳塔值(delta value);以該校正德耳塔向量中之該對應校正德耳塔值來擾動該經擾動布局中之每一邊緣區段以建立一經更多擾動之布局;使用該經更多擾動之布局來模擬該光微影過程以產生一第三經模擬抗蝕劑影像;針對每一邊緣區段而基於該等第三經模擬抗蝕劑影像值來更新該多解算器矩陣;及使用該經更新多解算器矩陣之一擬似逆推來更新該校 正德耳塔向量。
  2. 如請求項1之用以判定校正量之方法,其中該多解算器矩陣之該擬似逆推A + 界定為:A + =(αI +A T A )-1 A T 其中A T 為該多解算器矩陣之轉置,I 為單位矩陣,且α為一應用於該單位矩陣之可調整正乘法因數。
  3. 如請求項2之用以判定校正量之方法,其中一初始多解算器矩陣A 0 為一對角線矩陣,其中第i 對角線項目由以下方程式判定: 其中△c 0,i 為第i 邊緣區段之該擾動的量,且△RI i 為由於該擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的改變。
  4. 如請求項1之用以判定校正量之方法,其中該多解算器矩陣A 表達為: 其中A 0 為一初始多解算器矩陣,其為一對角線矩陣,PQn ×p 矩陣,其中n 為邊緣區段之數目,且pPQ 之行(column)的數目。
  5. 如請求項4之用以判定校正量之方法,其中該初始多解算器矩陣A 0 為一對角線矩陣,其中該第i 對角線項目由以下方程式判定: 其中△c 0,i 為該第i 邊緣區段之該擾動的該量,且△RI i 為由 於該擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的該改變。
  6. 如請求項2之用以判定校正量之方法,其中判定一校正德耳塔向量包含: 其中為該多解算器n ×n 矩陣之該擬似逆推,為一表示所要抗蝕劑影像值之n ×1向量,為一表示當該等邊緣區段已移動至如由該向量所指定之位置值時之最新經模擬抗蝕劑影像值的n ×1向量,且k 為迭代數目。
  7. 如請求項4之用以判定校正量之方法,其中判定一校正德耳塔向量包含: 其中Λ-1 ≡(αI +A 0 A 0 )-1 ,其為一對角線矩陣;C ≡[Q A 0 P ],其為一n ×2p 矩陣;G≡Λ-1 C,其為一n ×2p 矩陣;,其為一2p ×2p 矩陣;為一表示所要抗蝕劑影像值之n ×1向量;為一表示當該等邊緣區段已移動至如由該向量所指定之該等位置值時之該等最新經模擬抗蝕劑影像值的n ×1向量;且k 為該迭代數目。
  8. 如請求項1之用以判定校正量之方法,其進一步包含將一減幅因數(damping factor)應用於該校正德耳塔向量中之每一值。
  9. 如請求項4之用以判定校正量之方法,其中更新該多解算器矩陣包括: 若>0,則將作為一新行添加至P 且將作為一新行添加至Q ,其中界定為界定為,且為一指定在第k 迭代下該等邊緣區段之位置的向量。
  10. 如請求項2之用以判定校正量之方法,其中更新該多解算器矩陣包括:若>0,則其中界定為界定為,且為一指定在該第k 迭代下該等邊緣區段之該等位置的向量。
  11. 如請求項1之用以判定校正量之方法,其中該光罩布局為一接觸層之一布局。
  12. 如請求項1之用以判定校正量之方法,其中該光罩布局為一聚層(poly layer)之一布局。
  13. 如請求項1之用以判定校正量之方法,其中將非負加權因數指派至該等邊緣區段。
  14. 如請求項2之用以判定校正量之方法,其中將非負加權因數指派至該等邊緣區段,使得判定該校正德耳塔向量包含: 其中A k 為在該第k 迭代下之該多解算器矩陣;I 為該單位矩陣;為一表示所要抗蝕劑影像值之n ×1向量;為一表示當該等邊緣區段已移動至如由該向量所指定之該等位置值時之該等最新經模擬抗蝕劑影像值的n ×1向 量;k 為該迭代數目;且W 為一在對角線上具有非負加權因數之對角線矩陣。
  15. 如請求項4之用以判定校正量之方法,其中將非負加權因數指派至該等邊緣區段,使得判定該校正德耳塔向量包含: 其中Λ-1 ≡(αI +WA 0 WA 0 )-1 ,其為一對角線矩陣;C ≡[Q WA 0 WP ],其為一n ×2p 矩陣;G≡Λ-1 C,其為一n ×2p 矩 陣;,其為一2p ×2p 矩陣;為一表示所要抗蝕劑影像值之n ×1向量;為一表示當該等邊緣區段已移動至如由該向量所指定之該等位置值時之該等最新經模擬抗蝕劑影像值的n ×1向量;k 為該迭代數目;且W 為一在該對角線上具有非負加權因數之對角線矩陣。
  16. 一種電腦可讀媒體,其包括用於執行以下操作之指令:使用一光罩布局來模擬一光微影過程以產生一第一經模擬抗蝕劑影像;以一預定量來擾動該光罩布局中之每一邊緣區段以產生一初始經擾動布局;使用該初始經擾動布局來模擬該光微影過程以產生一第二經模擬抗蝕劑影像;針對每一邊緣區段來判定該第一經模擬抗蝕劑影像與該第二經模擬抗蝕劑影像之間的一差異抗蝕劑影像值;針對所有邊緣區段來建立一包括該等差異抗蝕劑影像 值之多解算器矩陣;使用該多解算器矩陣之一擬似逆推來判定一校正德耳塔向量,其中該校正德耳塔向量包括針對每一邊緣區段之一校正德耳塔值;以該校正德耳塔向量中之該對應校正德耳塔值來擾動該經擾動布局中之每一邊緣區段以建立一經更多擾動之布局;使用該經更多擾動之布局來模擬該光微影過程以產生一第三經模擬抗蝕劑影像;針對每一邊緣區段而基於該等第三經模擬抗蝕劑影像值來更新該多解算器矩陣;及使用該經更新多解算器矩陣之一擬似逆推來更新該校正德耳塔向量。
  17. 如請求項16之電腦可讀媒體,其中該多解算器矩陣之該擬似逆推A + 界定為:A + =(αI +A T A )-1 A T 其中A T 為該多解算器矩陣之轉置,I 為單位矩陣,且α為一應用於該單位矩陣之可調整正乘法因數。
  18. 如請求項17之電腦可讀媒體,其中一初始多解算器矩陣A 0 為一對角線矩陣,其中第i 對角線項目由以下方程式判定: 其中△c 0,i 為第i 邊緣區段之該擾動的量,且△RI i 為由於該 擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的改變。
  19. 如請求項16之電腦可讀媒體,其中該多解算器矩陣A 表達為: 其中A 0 為一初始多解算器矩陣,其為一對角線矩陣,PQn ×p 矩陣,其中n 為邊緣區段之數目,且pPQ 之行的數目。
  20. 如請求項19之電腦可讀媒體,其中該初始多解算器矩陣A 0 為一對角線矩陣,其中該第i 對角線項目由以下方程式判定: 其中△c 0,i 為該第i 邊緣區段之該擾動的該量,且△RI i 為由於該擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的該改變。
  21. 如請求項17之電腦可讀媒體,其中判定一校正德耳塔向量包含: 其中為該多解算器n ×n 矩陣之該擬似逆推,為一表示所要抗蝕劑影像值之n ×1向量,為一表示當該等邊緣區段已移動至如由該向量所指定之位置值時之最新經模擬抗蝕劑影像值的n ×1向量,且k 為迭代數目。
  22. 如請求項19之電腦可讀媒體,其中判定一校正德耳塔向量包含: 其中Λ-1 ≡(αI +A 0 A 0 )-1 ,其為一對角線矩陣;C ≡[Q A 0 P ],其為一n ×2p 矩陣;G≡Λ-1 C,其為一n ×2p 矩陣;,其為一2p ×2p 矩陣;為一表示所要抗蝕劑影像值之n ×1向量;為一表示當該等邊緣區段已移動至如由該向量所指定之該等位置值時之該等最新經模擬抗蝕劑影像值的n ×1向量;且k 為該迭代數目。
  23. 如請求項16之電腦可讀媒體,其進一步包含將一減幅因數應用於該校正德耳塔向量中之每一值。
  24. 如請求項19之電腦可讀媒體,其中更新該多解算器矩陣包括:若>0,則將作為一新行添加至P 且將作為一新行添加至Q ,其中界定為界定為,且為一指定在第k 迭代下該等邊緣區段之位置的向量。
  25. 如請求項17之電腦可讀媒體,其中更新該多解算器矩陣包括: 若>0,則其中界定為界定為,且為一指定該第k 迭代下該等邊緣區段之該等位置的向量。
  26. 如請求項16之電腦可讀媒體,其中該光罩布局為一接觸層之一布局。
  27. 如請求項16之電腦可讀媒體,其中該光罩布局為一聚層之一布局。
  28. 如請求項16之電腦可讀媒體,其中將非負加權因數指派至該等邊緣區段。
  29. 如請求項17之電腦可讀媒體,其中將非負加權因數指派至該等邊緣區段,使得判定該校正德耳塔向量包含: 其中A k 為在該第k 迭代下之該多解算器矩陣;I 為該單位矩陣;為一表示所要抗蝕劑影像值之n ×1向量;為一表示當該等邊緣區段已移動至如由該向量所指定之該等位置值時之該等最新經模擬抗蝕劑影像值的n ×1向量;k 為該迭代數目;且W 為一在對角線上具有非負加權因數之對角線矩陣。
  30. 如請求項19之電腦可讀媒體,其中將非負加權因數指派至該等邊緣區段,使得判定該校正德耳塔向量包含: 其中Λ-1 ≡(αI +WA 0 WA 0 )-1 ,其為一對角線矩陣;C ≡[Q WA 0 WP ],其為一n ×2p 矩陣;G≡Λ-1 C,其為一n ×2p 矩陣;,其為一2p ×2p 矩陣;為一表示所要抗蝕劑影像值之n ×1向量;為一表示當該等邊緣區段已移動至如由該向量所指定之該等位置值時之該等最新經模擬抗蝕劑影像值的n ×1向量;k 為該迭代數目;且W 為一在該對角線上具有非負加權因數之對角線矩陣。
  31. 一種包含用以執行下列步驟的指令之電腦程式產品:針對一光罩布局中之複數個邊緣區段,判定一肇因於施加至該複數個邊緣區段的一或多個擾動之差異抗蝕劑影像值;針對該複數個邊緣區段之每一者來建立一包括該等差異抗蝕劑影像值之多解算器矩陣;使用該多解算器矩陣以針對該光罩布局中之該複數個邊緣區段之每一者來同時判定一校正德耳塔值。
  32. 如請求項31之電腦程式產品,其中該多解算器矩陣之一擬似逆推A + 界定為:A + =(αI +A T A )-1 A T 其中A T 為該多解算器矩陣之轉置,I 為單位矩陣,α為一應用於該單位矩陣之可調整正乘法因數。
  33. 如請求項32之電腦程式產品,其中一初始多解算器矩陣A 0 為一對角線矩陣,其中第i 對角線項目由以下方程式判定: 其中△c 0,i 為第i 邊緣區段之該擾動的量,且△RI i 為由於該擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的改變。
  34. 如請求項31之電腦程式產品,其中該多解算器矩陣A 表達為: 其中A 0 為一初始多解算器矩陣,其為一對角線矩陣,PQn ×p 矩陣,其中n 為邊緣區段之數目,且pPQ 之行的數目。
  35. 如請求項34之電腦程式產品,其中該初始多解算器矩陣A 0 為一對角線矩陣,其中該第i 對角線項目由以下方程式判定: 其中△c 0,i 為該第i 邊緣區段之該擾動的該量,且△RI i 為由於該擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的該改變。
  36. 如請求項31之電腦程式產品,其中該判定該差異抗蝕劑影像值包括以下步驟:使用一光罩布局來模擬一光微影過程以產生一第一經模擬抗蝕劑影像;以一預定量來擾動該光罩布局中之該複數個邊緣區段之每一者以產生一初始經擾動布局;使用該初始經擾動布局來模擬光該微影過程以產生一第二經模擬抗蝕劑影像;針對該複數個邊緣區段之每一者來判定該第一經模擬抗蝕劑影像與該第二經模擬抗蝕劑影像之間的一差異抗蝕劑影像值。
  37. 如請求項31之電腦程式產品,其中同時判定該校正德耳塔值包括使用該多解算器矩陣之一擬似逆推來判定一校正德耳塔向量,其中該校正德耳塔向量包括該等校正德 耳塔值。
  38. 如請求項37之電腦程式產品,進一步包括以下步驟:以該校正德耳塔向量中之該對應校正德耳塔值來擾動該經擾動布局中該複數個邊緣區段之每一者以建立一經更多擾動之布局;使用該經更多擾動之布局來模擬該光微影過程以產生一第三經模擬抗蝕劑影像;針對該複數個邊緣區段之每一者而基於該等第三經模擬抗蝕劑影像值來更新該多解算器矩陣;及使用該經更新多解算器矩陣之一擬似逆推來更新該校正德耳塔向量。
  39. 一種為一包括邊緣區段之光罩布局產生光罩影像資料之系統,該系統包含一電腦,其經調適以使用下列步驟來判定該等邊緣區段之位置:針對一光罩布局中之複數個邊緣區段,判定一肇因於施加至該複數個邊緣區段的一或多個擾動之差異抗蝕劑影像值;針對該複數個邊緣區段之每一者來建立一包括該等差異抗蝕劑影像值之多解算器矩陣;使用該多解算器矩陣以針對該光罩布局中之該複數個邊緣區段之每一者來同時判定一校正德耳塔值。
  40. 如請求項39之系統,其中該多解算器矩陣之一擬似逆推A + 界定為:A + =(αI +A T A )-1 A T 其中A T 為該多解算器矩陣之轉置,I 為單位矩陣,α為一應用於該單位矩陣之可調整正乘法因數。
  41. 如請求項40之系統,其中一初始多解算器矩陣A 0 為一對角線矩陣,其中第i 對角線項目由以下方程式判定: 其中△c 0,i 為第i 邊緣區段之該擾動的量,且△RI i 為由於該擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的改變。
  42. 如請求項39之系統,其中該多解算器矩陣A 表達為: 其中A 0 為一初始多解算器矩陣,其為一對角線矩陣,PQn ×p 矩陣,其中n 為邊緣區段之數目,且pPQ 之行的數目。
  43. 如請求項42之系統,其中該初始多解算器矩陣A 0 為一對角線矩陣,其中該第i 對角線項目由以下方程式判定: 其中△c 0,i 為該第i 邊緣區段之該擾動的該量,且△RI i 為由於該擾動而引起的該第i 邊緣區段之該抗蝕劑影像值的該改變。
  44. 如請求項39之系統,其中該判定該差異抗蝕劑影像值包括以下步驟:使用一光罩布局來模擬一光微影過程以產生一第一經模擬抗蝕劑影像;以一預定量來擾動該光罩布局中之該複數個邊緣區段 之每一者以產生一初始經擾動布局;使用該初始經擾動布局來模擬光該微影過程以產生一第二經模擬抗蝕劑影像;針對該複數個邊緣區段之每一者來判定該第一經模擬抗蝕劑影像與該第二經模擬抗蝕劑影像之間的一差異抗蝕劑影像值。
  45. 如請求項39之系統,其中同時判定該校正德耳塔值包括使用該多解算器矩陣之一擬似逆推來判定一校正德耳塔向量,其中該校正德耳塔向量包括該等校正德耳塔值。
  46. 如請求項45之系統,進一步包括以下步驟:以該校正德耳塔向量中之該對應校正德耳塔值來擾動該經擾動布局中該複數個邊緣區段之每一者以建立一經更多擾動之布局;使用該經更多擾動之布局來模擬該光微影過程以產生一第三經模擬抗蝕劑影像;針對該複數個邊緣區段之每一者而基於該等第三經模擬抗蝕劑影像值來更新該多解算器矩陣;及使用該經更新多解算器矩陣之一擬似逆推來更新該校正德耳塔向量。
  47. 一種製造一光罩的方法,其包含以下步驟:產生包括複數個邊緣區段之光罩布局,該複數個邊緣區段之位置係以下步驟決定:針對該光罩布局中之該複數個邊緣區段,判定一肇因於施加至該複數個邊緣區段的一或多個擾動之差異 抗蝕劑影像值;針對該複數個邊緣區段之每一者來建立一包括該等差異抗蝕劑影像值之多解算器矩陣;使用該多解算器矩陣以針對該光罩布局中之該複數個邊緣區段之每一者來同時判定一校正德耳塔值;及使用該經產生之光罩布局資料以製造該光罩。
TW097122247A 2007-06-15 2008-06-13 光學近接校正之多變數解算器 TWI396055B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/764,128 US7707538B2 (en) 2007-06-15 2007-06-15 Multivariable solver for optical proximity correction

Publications (2)

Publication Number Publication Date
TW200916972A TW200916972A (en) 2009-04-16
TWI396055B true TWI396055B (zh) 2013-05-11

Family

ID=39930743

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102110115A TWI418954B (zh) 2007-06-15 2008-06-13 光學近接校正之多變數解算器
TW097122247A TWI396055B (zh) 2007-06-15 2008-06-13 光學近接校正之多變數解算器

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102110115A TWI418954B (zh) 2007-06-15 2008-06-13 光學近接校正之多變數解算器

Country Status (7)

Country Link
US (4) US7707538B2 (zh)
EP (1) EP2053460A2 (zh)
JP (2) JP4746649B2 (zh)
KR (1) KR100982800B1 (zh)
CN (2) CN101359170B (zh)
SG (1) SG148945A1 (zh)
TW (2) TWI418954B (zh)

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5001638B2 (ja) * 2006-12-22 2012-08-15 株式会社オーク製作所 露光データ作成装置
US7788630B2 (en) * 2007-03-21 2010-08-31 Synopsys, Inc. Method and apparatus for determining an optical model that models the effect of optical proximity correction
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7711504B2 (en) * 2007-07-23 2010-05-04 The Board Of Regents, University Of Texas System Method and system for performing optical proximity correction with process variations considerations
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
CN102135723B (zh) * 2010-01-21 2012-09-05 上海华虹Nec电子有限公司 基于衬底刻蚀后图形对本层光刻图形进行修正的方法
JP2012134951A (ja) * 2010-11-30 2012-07-12 Canon Inc 撮像装置及びその制御方法
KR101833017B1 (ko) 2011-02-15 2018-04-13 삼성전자 주식회사 포토 마스크의 제조 방법
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US20140013286A1 (en) * 2012-07-05 2014-01-09 Macronix International Co., Ltd. Method for manufacturing a mask
US8745550B2 (en) * 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
JP2014041976A (ja) * 2012-08-23 2014-03-06 Toshiba Corp レシピ管理装置
US9165095B2 (en) * 2013-11-15 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Target point generation for optical proximity correction
US9189588B2 (en) * 2013-12-10 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polygon-based optical proximity correction
JP2015146398A (ja) * 2014-02-04 2015-08-13 株式会社東芝 加工変換差予測装置、加工変換差予測方法および加工変換差予測プログラム
US10430543B2 (en) 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
KR102343850B1 (ko) * 2015-05-06 2021-12-28 삼성전자주식회사 광 근접 보정에서 공통의 바이어스 값을 이용하여 마스크를 제작하는 방법
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US10527928B2 (en) 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
WO2019048506A1 (en) 2017-09-08 2019-03-14 Asml Netherlands B.V. METHODS OF LEARNING OPTICAL CORRECTION OF PROXIMITY ERROR ASSISTED BY AUTOMATIC APPRENTICESHIP
EP3688529B1 (en) * 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US10671052B2 (en) * 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR102525162B1 (ko) * 2017-12-18 2023-04-24 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US11422472B2 (en) 2017-12-22 2022-08-23 Asml Netherlands B.V. Patterning process improvement involving optical aberration
KR102440337B1 (ko) 2017-12-22 2022-09-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
KR102444680B1 (ko) 2018-02-18 2022-09-19 에이에스엠엘 네델란즈 비.브이. 이진화 방법 및 프리폼 마스크 최적화 흐름
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
US11899374B2 (en) 2018-05-07 2024-02-13 Asml Netherlands B.V. Method for determining an electromagnetic field associated with a computational lithography mask model
WO2019233711A1 (en) 2018-06-04 2019-12-12 Asml Netherlands B.V. Method for improving a process model for a patterning process
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
US11422473B2 (en) 2018-07-12 2022-08-23 Asml Netherlands B.V. Utilize pattern recognition to improve SEM contour measurement accuracy and stability automatically
KR20210033496A (ko) 2018-08-15 2021-03-26 에이에스엠엘 네델란즈 비.브이. 원시 이미지들로부터 고품질 평균 sem 이미지들의 자동 선택 시 기계 학습 활용
KR20200028169A (ko) * 2018-09-06 2020-03-16 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
KR102653951B1 (ko) * 2018-09-06 2024-04-02 삼성전자주식회사 반도체 소자의 레이아웃 패턴 분할 방법 및 이를 포함하는 광 근접 보정 방법
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
US20210349404A1 (en) 2018-10-19 2021-11-11 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
CN113168556A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于降低机器学习模型预测中的不确定性的方法
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
KR20240052072A (ko) 2018-12-28 2024-04-22 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US20220134480A1 (en) 2019-02-19 2022-05-05 Asml Holding N.V. Laser roughening: engineering the roughness of the burl top
WO2020169303A1 (en) * 2019-02-21 2020-08-27 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask
WO2020173687A1 (en) 2019-02-27 2020-09-03 Asml Netherlands B.V. Improve gauge selection for model calibration
WO2020177979A1 (en) 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
US11846889B2 (en) 2019-03-08 2023-12-19 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
US11815820B2 (en) 2019-03-21 2023-11-14 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
EP3953765B1 (en) 2019-04-09 2023-01-11 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
KR20210145258A (ko) 2019-04-30 2021-12-01 에이에스엠엘 네델란즈 비.브이. 포토리소그래피 이미징을 위한 장치 및 방법
US20220276563A1 (en) 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
US20220276564A1 (en) 2019-08-08 2022-09-01 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
CN112415855B (zh) * 2019-08-22 2022-04-12 长鑫存储技术有限公司 Opc修正方法及opc修正装置
KR20220038167A (ko) 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
CN114341885A (zh) 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
US11150551B2 (en) * 2019-10-15 2021-10-19 Samsung Electronics Co., Ltd. Method for optical proximity correction in which consistency is maintained and method for manufacturing mask using the same
KR20220073828A (ko) 2019-11-01 2022-06-03 에이에스엠엘 네델란즈 비.브이. 모델 베이스 정렬들을 위한 기계 학습 기반 이미지 생성
WO2021099408A1 (en) 2019-11-19 2021-05-27 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
TWI729593B (zh) * 2019-11-27 2021-06-01 台灣積體電路製造股份有限公司 積體電路之製造方法
CN112882348B (zh) * 2019-11-29 2022-10-21 长鑫存储技术有限公司 一种光学临近效应修正方法及装置
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和系统
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
CN115104068A (zh) 2020-02-21 2022-09-23 Asml荷兰有限公司 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
KR20220166326A (ko) 2020-05-09 2022-12-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 패턴의 일부에 대한 메트릭 결정
CN115668203A (zh) 2020-06-02 2023-01-31 Asml荷兰有限公司 验证掩模设计的自由曲线特征
WO2021244820A1 (en) 2020-06-03 2021-12-09 Asml Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
KR20230010686A (ko) 2020-06-10 2023-01-19 에이에스엠엘 네델란즈 비.브이. 수차 영향 시스템, 모델, 및 제조 프로세스
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
US20230298158A1 (en) 2020-08-19 2023-09-21 Asml Netherlands B.V. Apparatus and method for selecting high quality images from raw images automatically
US20230273528A1 (en) 2020-08-19 2023-08-31 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
WO2022064016A1 (en) 2020-09-25 2022-03-31 Asml Netherlands B.V. Optimization of scanner throughput and imaging quality for a patterning process
US20230332669A1 (en) 2020-09-30 2023-10-19 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
CN116391157A (zh) 2020-10-23 2023-07-04 Asml荷兰有限公司 用于产生掩模图案的方法
KR20220078124A (ko) 2020-12-03 2022-06-10 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
WO2022135818A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. Feature based cell extraction for pattern regions
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
KR20230154852A (ko) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. 반도체 제조 관련 프로세스의 패턴 선택 방법
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
KR20240029778A (ko) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
WO2023285071A1 (en) 2021-07-13 2023-01-19 Asml Netherlands B.V. Pattern selection for source mask optimization and target optimization
WO2023001459A1 (en) 2021-07-21 2023-01-26 Asml Netherlands B.V. Systems and methods for thermally stable mounting of optical columns
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
KR20240064651A (ko) 2021-09-22 2024-05-13 에이에스엠엘 네델란즈 비.브이. 패턴 선택 시스템들 및 방법들
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
WO2024094385A1 (en) 2022-10-31 2024-05-10 Asml Netherlands B.V. Source optimization for mitigating mask error impact

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301697B1 (en) * 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US20050097500A1 (en) * 2003-10-07 2005-05-05 Jun Ye System and method for lithography simulation

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JP3583559B2 (ja) * 1996-09-30 2004-11-04 株式会社ルネサステクノロジ 光近接効果補正方法
JP3551660B2 (ja) * 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
JP4160203B2 (ja) * 1998-07-23 2008-10-01 株式会社東芝 マスクパターン補正方法及びマスクパターン補正プログラムを記録した記録媒体
US6868175B1 (en) * 1999-08-26 2005-03-15 Nanogeometry Research Pattern inspection apparatus, pattern inspection method, and recording medium
US6721938B2 (en) * 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US6973633B2 (en) * 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US6928634B2 (en) * 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
JP2005017551A (ja) 2003-06-25 2005-01-20 Matsushita Electric Ind Co Ltd 近接効果補正の検証方法及び検証装置
JP4473555B2 (ja) 2003-11-12 2010-06-02 パナソニック株式会社 近接効果補正方法及び近接効果補正装置
JP4357287B2 (ja) * 2003-12-18 2009-11-04 株式会社東芝 修正指針の発生方法、パターン作成方法、マスクの製造方法、半導体装置の製造方法及びプログラム
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
US7838521B2 (en) * 2004-09-08 2010-11-23 Nycomed Gmbh 3-oxa-10-aza-phenanthrenes
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7349066B2 (en) * 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
WO2007007626A1 (ja) * 2005-07-08 2007-01-18 Nikon Corporation 露光方法及び露光装置、並びにデバイス製造方法
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7523435B2 (en) * 2005-12-01 2009-04-21 Intel Corporation Pixelated masks for high resolution photolithography
US7703067B2 (en) * 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301697B1 (en) * 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US20050097500A1 (en) * 2003-10-07 2005-05-05 Jun Ye System and method for lithography simulation
US20070022402A1 (en) * 2003-10-07 2007-01-25 Jun Ye System and method for lithography simulation

Also Published As

Publication number Publication date
SG148945A1 (en) 2009-01-29
JP4746649B2 (ja) 2011-08-10
KR20080110530A (ko) 2008-12-18
US20130311959A1 (en) 2013-11-21
TWI418954B (zh) 2013-12-11
US8291352B2 (en) 2012-10-16
JP2008310333A (ja) 2008-12-25
US20100161093A1 (en) 2010-06-24
CN101359170B (zh) 2011-09-14
US20080309897A1 (en) 2008-12-18
JP2011076119A (ja) 2011-04-14
CN102221776B (zh) 2013-03-13
US20130042212A1 (en) 2013-02-14
TW200916972A (en) 2009-04-16
US8448099B2 (en) 2013-05-21
US8938699B2 (en) 2015-01-20
KR100982800B1 (ko) 2010-09-16
US7707538B2 (en) 2010-04-27
CN102221776A (zh) 2011-10-19
TW201329653A (zh) 2013-07-16
EP2053460A2 (en) 2009-04-29
JP4746719B2 (ja) 2011-08-10
CN101359170A (zh) 2009-02-04

Similar Documents

Publication Publication Date Title
TWI396055B (zh) 光學近接校正之多變數解算器
KR102644214B1 (ko) 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
US8413081B2 (en) Method for process window optimized optical proximity correction
US9619607B2 (en) Method and apparatus for cost function based simultaneous OPC and SBAR optimization
US9292627B2 (en) System and method for modifying a data set of a photomask
US7237221B2 (en) Matrix optical process correction
JP5198588B2 (ja) モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置
US9779186B2 (en) Methods for performing model-based lithography guided layout design
EP1197802B1 (en) Method of optical proximity correction
KR20170113801A (ko) 마스크 레이아웃의 래스터화 방법 및 이를 이용한 포토 마스크의 제조방법
US20100261121A1 (en) Pattern forming method