SG148945A1 - Multivariable solver for optical proximity correction - Google Patents

Multivariable solver for optical proximity correction

Info

Publication number
SG148945A1
SG148945A1 SG200804437-2A SG2008044372A SG148945A1 SG 148945 A1 SG148945 A1 SG 148945A1 SG 2008044372 A SG2008044372 A SG 2008044372A SG 148945 A1 SG148945 A1 SG 148945A1
Authority
SG
Singapore
Prior art keywords
optical proximity
layout
proximity correction
edge segment
multivariable
Prior art date
Application number
SG200804437-2A
Other languages
English (en)
Inventor
William S Wong
Chen Been Der
Li Jiangwei
Nishibe Tatsuo
Lu Yen-Wen
Original Assignee
Brion Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brion Tech Inc filed Critical Brion Tech Inc
Publication of SG148945A1 publication Critical patent/SG148945A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
SG200804437-2A 2007-06-15 2008-06-11 Multivariable solver for optical proximity correction SG148945A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/764,128 US7707538B2 (en) 2007-06-15 2007-06-15 Multivariable solver for optical proximity correction

Publications (1)

Publication Number Publication Date
SG148945A1 true SG148945A1 (en) 2009-01-29

Family

ID=39930743

Family Applications (1)

Application Number Title Priority Date Filing Date
SG200804437-2A SG148945A1 (en) 2007-06-15 2008-06-11 Multivariable solver for optical proximity correction

Country Status (7)

Country Link
US (4) US7707538B2 (zh)
EP (1) EP2053460A2 (zh)
JP (2) JP4746649B2 (zh)
KR (1) KR100982800B1 (zh)
CN (2) CN101359170B (zh)
SG (1) SG148945A1 (zh)
TW (2) TWI418954B (zh)

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5001638B2 (ja) * 2006-12-22 2012-08-15 株式会社オーク製作所 露光データ作成装置
US7788630B2 (en) * 2007-03-21 2010-08-31 Synopsys, Inc. Method and apparatus for determining an optical model that models the effect of optical proximity correction
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7711504B2 (en) * 2007-07-23 2010-05-04 The Board Of Regents, University Of Texas System Method and system for performing optical proximity correction with process variations considerations
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
CN102135723B (zh) * 2010-01-21 2012-09-05 上海华虹Nec电子有限公司 基于衬底刻蚀后图形对本层光刻图形进行修正的方法
JP2012134951A (ja) * 2010-11-30 2012-07-12 Canon Inc 撮像装置及びその制御方法
KR101833017B1 (ko) 2011-02-15 2018-04-13 삼성전자 주식회사 포토 마스크의 제조 방법
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US20140013286A1 (en) * 2012-07-05 2014-01-09 Macronix International Co., Ltd. Method for manufacturing a mask
US8745550B2 (en) * 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
JP2014041976A (ja) * 2012-08-23 2014-03-06 Toshiba Corp レシピ管理装置
US9165095B2 (en) * 2013-11-15 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Target point generation for optical proximity correction
US9189588B2 (en) * 2013-12-10 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polygon-based optical proximity correction
JP2015146398A (ja) * 2014-02-04 2015-08-13 株式会社東芝 加工変換差予測装置、加工変換差予測方法および加工変換差予測プログラム
US10430543B2 (en) 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
KR102343850B1 (ko) * 2015-05-06 2021-12-28 삼성전자주식회사 광 근접 보정에서 공통의 바이어스 값을 이용하여 마스크를 제작하는 방법
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US10527928B2 (en) 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
WO2019048506A1 (en) 2017-09-08 2019-03-14 Asml Netherlands B.V. METHODS OF LEARNING OPTICAL CORRECTION OF PROXIMITY ERROR ASSISTED BY AUTOMATIC APPRENTICESHIP
EP3688529B1 (en) * 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US10671052B2 (en) * 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR102525162B1 (ko) * 2017-12-18 2023-04-24 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US11422472B2 (en) 2017-12-22 2022-08-23 Asml Netherlands B.V. Patterning process improvement involving optical aberration
KR102440337B1 (ko) 2017-12-22 2022-09-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
KR102444680B1 (ko) 2018-02-18 2022-09-19 에이에스엠엘 네델란즈 비.브이. 이진화 방법 및 프리폼 마스크 최적화 흐름
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
US11899374B2 (en) 2018-05-07 2024-02-13 Asml Netherlands B.V. Method for determining an electromagnetic field associated with a computational lithography mask model
WO2019233711A1 (en) 2018-06-04 2019-12-12 Asml Netherlands B.V. Method for improving a process model for a patterning process
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
US11422473B2 (en) 2018-07-12 2022-08-23 Asml Netherlands B.V. Utilize pattern recognition to improve SEM contour measurement accuracy and stability automatically
KR20210033496A (ko) 2018-08-15 2021-03-26 에이에스엠엘 네델란즈 비.브이. 원시 이미지들로부터 고품질 평균 sem 이미지들의 자동 선택 시 기계 학습 활용
KR20200028169A (ko) * 2018-09-06 2020-03-16 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
KR102653951B1 (ko) * 2018-09-06 2024-04-02 삼성전자주식회사 반도체 소자의 레이아웃 패턴 분할 방법 및 이를 포함하는 광 근접 보정 방법
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
US20210349404A1 (en) 2018-10-19 2021-11-11 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
CN113168556A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于降低机器学习模型预测中的不确定性的方法
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
KR20240052072A (ko) 2018-12-28 2024-04-22 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US20220134480A1 (en) 2019-02-19 2022-05-05 Asml Holding N.V. Laser roughening: engineering the roughness of the burl top
WO2020169303A1 (en) * 2019-02-21 2020-08-27 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask
WO2020173687A1 (en) 2019-02-27 2020-09-03 Asml Netherlands B.V. Improve gauge selection for model calibration
WO2020177979A1 (en) 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
US11846889B2 (en) 2019-03-08 2023-12-19 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
US11815820B2 (en) 2019-03-21 2023-11-14 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
EP3953765B1 (en) 2019-04-09 2023-01-11 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
KR20210145258A (ko) 2019-04-30 2021-12-01 에이에스엠엘 네델란즈 비.브이. 포토리소그래피 이미징을 위한 장치 및 방법
US20220276563A1 (en) 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
US20220276564A1 (en) 2019-08-08 2022-09-01 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
CN112415855B (zh) * 2019-08-22 2022-04-12 长鑫存储技术有限公司 Opc修正方法及opc修正装置
KR20220038167A (ko) 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
CN114341885A (zh) 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
US11150551B2 (en) * 2019-10-15 2021-10-19 Samsung Electronics Co., Ltd. Method for optical proximity correction in which consistency is maintained and method for manufacturing mask using the same
KR20220073828A (ko) 2019-11-01 2022-06-03 에이에스엠엘 네델란즈 비.브이. 모델 베이스 정렬들을 위한 기계 학습 기반 이미지 생성
WO2021099408A1 (en) 2019-11-19 2021-05-27 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
TWI729593B (zh) * 2019-11-27 2021-06-01 台灣積體電路製造股份有限公司 積體電路之製造方法
CN112882348B (zh) * 2019-11-29 2022-10-21 长鑫存储技术有限公司 一种光学临近效应修正方法及装置
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和系统
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
CN115104068A (zh) 2020-02-21 2022-09-23 Asml荷兰有限公司 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
KR20220166326A (ko) 2020-05-09 2022-12-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 패턴의 일부에 대한 메트릭 결정
CN115668203A (zh) 2020-06-02 2023-01-31 Asml荷兰有限公司 验证掩模设计的自由曲线特征
WO2021244820A1 (en) 2020-06-03 2021-12-09 Asml Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
KR20230010686A (ko) 2020-06-10 2023-01-19 에이에스엠엘 네델란즈 비.브이. 수차 영향 시스템, 모델, 및 제조 프로세스
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
US20230298158A1 (en) 2020-08-19 2023-09-21 Asml Netherlands B.V. Apparatus and method for selecting high quality images from raw images automatically
US20230273528A1 (en) 2020-08-19 2023-08-31 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
WO2022064016A1 (en) 2020-09-25 2022-03-31 Asml Netherlands B.V. Optimization of scanner throughput and imaging quality for a patterning process
US20230332669A1 (en) 2020-09-30 2023-10-19 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
CN116391157A (zh) 2020-10-23 2023-07-04 Asml荷兰有限公司 用于产生掩模图案的方法
KR20220078124A (ko) 2020-12-03 2022-06-10 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
WO2022135818A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. Feature based cell extraction for pattern regions
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
KR20230154852A (ko) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. 반도체 제조 관련 프로세스의 패턴 선택 방법
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
KR20240029778A (ko) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
WO2023285071A1 (en) 2021-07-13 2023-01-19 Asml Netherlands B.V. Pattern selection for source mask optimization and target optimization
WO2023001459A1 (en) 2021-07-21 2023-01-26 Asml Netherlands B.V. Systems and methods for thermally stable mounting of optical columns
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
KR20240064651A (ko) 2021-09-22 2024-05-13 에이에스엠엘 네델란즈 비.브이. 패턴 선택 시스템들 및 방법들
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
WO2024094385A1 (en) 2022-10-31 2024-05-10 Asml Netherlands B.V. Source optimization for mitigating mask error impact

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JP3583559B2 (ja) * 1996-09-30 2004-11-04 株式会社ルネサステクノロジ 光近接効果補正方法
JP3551660B2 (ja) * 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
JP4160203B2 (ja) * 1998-07-23 2008-10-01 株式会社東芝 マスクパターン補正方法及びマスクパターン補正プログラムを記録した記録媒体
US6301697B1 (en) * 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6868175B1 (en) * 1999-08-26 2005-03-15 Nanogeometry Research Pattern inspection apparatus, pattern inspection method, and recording medium
US6721938B2 (en) * 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US6973633B2 (en) * 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US6928634B2 (en) * 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
JP2005017551A (ja) 2003-06-25 2005-01-20 Matsushita Electric Ind Co Ltd 近接効果補正の検証方法及び検証装置
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4473555B2 (ja) 2003-11-12 2010-06-02 パナソニック株式会社 近接効果補正方法及び近接効果補正装置
JP4357287B2 (ja) * 2003-12-18 2009-11-04 株式会社東芝 修正指針の発生方法、パターン作成方法、マスクの製造方法、半導体装置の製造方法及びプログラム
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
US7838521B2 (en) * 2004-09-08 2010-11-23 Nycomed Gmbh 3-oxa-10-aza-phenanthrenes
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7349066B2 (en) * 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
WO2007007626A1 (ja) * 2005-07-08 2007-01-18 Nikon Corporation 露光方法及び露光装置、並びにデバイス製造方法
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7523435B2 (en) * 2005-12-01 2009-04-21 Intel Corporation Pixelated masks for high resolution photolithography
US7703067B2 (en) * 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby

Also Published As

Publication number Publication date
JP4746649B2 (ja) 2011-08-10
KR20080110530A (ko) 2008-12-18
US20130311959A1 (en) 2013-11-21
TWI418954B (zh) 2013-12-11
US8291352B2 (en) 2012-10-16
JP2008310333A (ja) 2008-12-25
US20100161093A1 (en) 2010-06-24
CN101359170B (zh) 2011-09-14
US20080309897A1 (en) 2008-12-18
JP2011076119A (ja) 2011-04-14
CN102221776B (zh) 2013-03-13
US20130042212A1 (en) 2013-02-14
TW200916972A (en) 2009-04-16
US8448099B2 (en) 2013-05-21
US8938699B2 (en) 2015-01-20
KR100982800B1 (ko) 2010-09-16
US7707538B2 (en) 2010-04-27
CN102221776A (zh) 2011-10-19
TW201329653A (zh) 2013-07-16
TWI396055B (zh) 2013-05-11
EP2053460A2 (en) 2009-04-29
JP4746719B2 (ja) 2011-08-10
CN101359170A (zh) 2009-02-04

Similar Documents

Publication Publication Date Title
SG148945A1 (en) Multivariable solver for optical proximity correction
WO2014051733A3 (en) Vector move instruction controlled by read and write masks
IL233914B (en) Lithography system and a machine learning controller for such a lithography system
EP2506153A3 (en) A method for users to create and edit web page layouts
JP2017502728A5 (zh)
EP2095280A4 (en) EXPRESSIBILITY VERIFICATION BY PROGRESSIVE MODELING ACCURACY
EP2384458A4 (en) METHOD FOR FORMATION OF NEGATIVE PHOTOSENSITIVE RESIN PATTERN, REVELATOR AND CHEMICAL AMPLIFIED NEGATIVE RESIN COMPOSITION USED FOR SAME, AND PHOTOSENSITIVE RESIN PATTERN
BR112014006505A2 (pt) disposição configurada e método para controlar um parâmetro ambiental em um espaço predial e sistema de controle para uma edificação
ATE470113T1 (de) Belüftungssteuerungssystem und verfahren für einen rechnerraum
BR112014013785A8 (pt) designer de edição
DK1892576T3 (da) Eksponeringsindretning til at fremstille serigrafiskabeloner
DE602008002455D1 (de) Toner zur Entwicklung eines latenten elektrostatischen Bildes, Herstellungsverfahren desselben sowie Bilderzeugungsverfahren
FR2926375B1 (fr) Procede d'execution d'une application informatique, kit et aeronef associes
ATE514980T1 (de) Verfahren zur rechnergestützten steuerung und/oder regelung eines technischen systems
ATE473470T1 (de) Erweiterte rückkopplung zur anlagensteuerung
DE602007005529D1 (de) Bilderzeugungsvorrichtung und Steuerverfahren dafür
BR112015023610A2 (pt) Método e dispositivo para tratamento de águas residuais e produção de biomassa unicelular utilizando sistema de controle de ph e água residual industrial com elevados níveis de demanda bioquímica de oxigênio
BR112015000879A2 (pt) sistema e método para modelagem de velocidade de migração
JP1728488S (ja) 鼻マスク
DK1817707T3 (da) Vituel programmering af baner og formgivningsdele
DE602007009346D1 (de) Bilderzeugungsvorrichtung und Steuerverfahren dafür
FR3031405B1 (fr) Procede de controle d'un curseur de visee au moyen d'une manette de jeu et manette de jeu correspondante
KR102516387B9 (ko) 5차원 위치 제어 장치를 활용한 롤투롤 레지스터 정밀 제어 시스템 및 방법
JP2008139998A5 (zh)
KR102299639B9 (ko) 접촉성 암호화 칩셋을 포함한 전자 티켓을 활용한 출입 통제 방법