TWI752278B - 光罩製造方法 - Google Patents

光罩製造方法 Download PDF

Info

Publication number
TWI752278B
TWI752278B TW107140216A TW107140216A TWI752278B TW I752278 B TWI752278 B TW I752278B TW 107140216 A TW107140216 A TW 107140216A TW 107140216 A TW107140216 A TW 107140216A TW I752278 B TWI752278 B TW I752278B
Authority
TW
Taiwan
Prior art keywords
process conditions
target
layout
lithography
mentioned
Prior art date
Application number
TW107140216A
Other languages
English (en)
Other versions
TW201923444A (zh
Inventor
鄭東祐
謝艮軒
張世明
李志傑
周碩彥
劉如淦
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/057,277 external-priority patent/US10678142B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201923444A publication Critical patent/TW201923444A/zh
Application granted granted Critical
Publication of TWI752278B publication Critical patent/TWI752278B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文提供用於執行光學鄰近校正及形成光罩之技術其多種範例。在一些範例中會接收一佈局,該佈局包括用以形成光罩之形狀。為上述形狀決定多個標的微影輪廓,其包括用於第一組製程條件之第一標的輪廓,以及用於第二組製程條件且相異於第一標的輪廓之第二標的輪廓。對上述佈局執行微影模擬,以在第一組製程條件下產生第一模擬輪廓,並在第二組製程條件下產生第二模擬輪廓。決定對佈局之修改,該修改係基於介於第一模擬輪廓與第一標的輪廓間,以及介於第二模擬輪廓與第二標第輪廓間之邊緣布局誤差。

Description

光罩製造方法
本揭露係有關於光罩製造方法,特別是有關使用光學鄰近校正之光罩製造方法。
半導體積體電路(IC)產業經歷了快速成長。在IC演進的過程中,功能密度(即每單位晶片面積之互連元件數量)通常隨著幾何尺寸(即製程所能產生之最小零件(或線))的減少而增加。此縮尺(scaling down)過程通常藉由增加生產效率及減少相關成本以提供效益。然而,這種縮尺亦伴隨著包含此等IC之設計及元件製造其複雜性之增加。製造上的平行進步使得越來越複雜的設計能夠以精確和可靠的方式製造。
舉例來說,一些進步補償了發生在微影極限附近之光學效應及製程缺陷。在許多範例中,IC特徵藉由一組微影遮罩來定義及形成在半導體基板上。該等遮罩具有以透射及/或反射區域形成之圖案。在微影曝光中,諸如紫外光之輻射會在撞擊塗佈於基板上之光阻前穿過遮罩或自遮罩反射。遮罩會將圖案轉移至光阻上,接著選擇性地移除光阻以顯露圖案。此後基板經歷之製程步驟係利用剩餘光阻之形狀以在基板上產生電路特徵。當製程步驟完成後,會施以另一光阻並以後續遮罩曝光基板。透過這種方式,這些特徵被分層以產生最終電路。
然而,形成於基板上之圖案可能不同於遮罩上之圖案。舉例來說,包括繞射、邊緣效應以及干涉在內的光學效應可能會影響輻射落在工作件上的位置。同樣地,遮罩特性、微影系統及/或工作件可能會決定光阻被曝光的位置。 製程步驟之變化性如光阻顯影、蝕刻、沉積、佈植等等,亦可能影響最終圖案的形狀。如不加以考慮,此等效應可能會導致變化,例如角圓化、邊緣誤差、頸縮、架橋以及不完整特徵。
本揭露提供一種積體電路製造方法,上述方法包括接收包含將形成於光罩上之形狀之佈局;為形狀決定多個標的微影輪廓,多個標的微影輪廓包括用於第一組製程條件之第一標的微影輪廓,以及用於第二製程條件之第二標的微影輪廓,其中第二標的微影輪廓相異於第一標的微影輪廓;對佈局執行微影模擬,以在第一組製程條件下產生第一模擬輪廓,以及在第二組製程條件下產生第二模擬輪廓;決定介於第一模擬輪廓與第一標的微影輪廓間之第一邊緣位置誤差,以及決定介於第二模擬輪廓與第二標的微影輪廓間之第二邊緣位置誤差;基於第一邊緣位置誤差及第二邊緣位置誤差決定對佈局之修改;以及提供具有修改之佈局以製造光罩。
本揭露提供一種積體電路製造方法,上述方法包括接收用以製造遮罩之佈局;決定對應多組製程條件之多個標的輪廓,其中多個標的輪廓中之第一輪廓相異於多個標的輪廓中之第二輪廓;對於對佈局之多個潛在修改中的每一個:在多組製程條件下模擬個別潛在修改之微影製程,以產生多個模擬輪廓;基於多個模擬輪廓及多組標的輪廓,決定多個邊緣位置誤差;以及基於多個邊緣位置誤差將成本與個別潛在修改相關聯;以及提供佈局及多個潛在修改中具有最低相關成本之修改,用以製造遮罩。
本揭露提供一種積體電路製造方法,上述方法包括接收佈局,其中佈局包括對應於將形成於工作件上之特徵之形狀;對佈局執行補償處理,透過:在製程窗口內,為對應多個製程條件之形狀決定多個標的輪廓,其中多個標的輪廓中之第一輪廓相異於多個標的輪廓中之第二輪廓;於製程窗口內,在 多組製程條件下對佈局模擬多個潛在修改,以產生多個模擬輪廓;以及基於對多個模擬輪廓與多個標的輪廓之比較,評估上述多個潛在修改之成本;以及提供佈局及多個潛在修改中具有最低成本之一修改,以製造將特徵形成於上述工作件上之光罩。
100:微影系統
102:輻射源
104:施照體
106:遮罩
108:遮罩載台
110:投影光學模組
112:工作件
114:基板載台
116:光阻
200:方法(定義用於製造積體電路之遮罩之方法)
202-224:操作
300:佈局
302:形狀
400:圖例(製程窗口內製程條件之圖例)
402:第一軸
404:第二軸
406:第三軸
408:製程窗口
410:複數標記
410A:標記
410B:標記
410C:標記
500:第一模擬環境
502:標的輪廓
520:第二模擬環境
540:第三模擬環境
602:模擬輪廓
702:邊緣位置誤差
800:方法
802、804、806:操作
900:遮罩部分截面圖
902:遮罩基板
904:反射結構
906:覆蓋層
908:吸收層
1200:微影環境
1202:控制系統
1204:處理資源
1206:非暫態機器可讀取媒體
1208:製造介面
1210:遮罩製造系統
1212:I/O介面
本揭露從後續實施方式及附圖可更佳理解。須強調的是,依據產業之標準作法,各種特徵並未按比例繪製,並僅用於說明之目的。事實上,各種特徵之尺寸可能任意增加或減少以清楚論述。
第1圖係根據本揭露多種實施例之微影系統之操作圖。
第2A圖及第2B圖係根據本揭露多個實施例,定義用於製造積體電路之遮罩之方法之流程圖。
第3圖係根據本揭露多個實施例之圖示,乃用於製造經歷製造方法之積體電路之佈局之一部分。
第4圖係根據本揭露多個實施例之製程窗口內製程條件之圖例。
第5A-5C、6A-6C、7A-7C圖係根據本揭露多個實施例之方法過程間之模擬環境之圖示。
第8圖係根據本揭露多種實施例之用於製造微影遮罩之方法之流程圖。
第9圖及第10圖係根據本揭露多種實施例製造之遮罩之部分之截面圖。
第11圖係根據本揭露多種實施例製造之遮罩之部分之俯視圖。
第12圖係根據本揭露之多種實施例之微影環境之區塊圖。
以下之揭露提供許多不同實施例或範例,用以實施本發明之不同特徵。本揭露之各零件及排列方式,其特定範例敘述於下以簡化說明。理所當然的,這些範例並非用以限制本發明。舉例來說,若敘述中有著第一特徵形成 於第二特徵之上或上方,其可能包含第一特徵與第二特徵以直接接觸形成之實施例,亦可能包含有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵間並非直接接觸之實施例。此外,本揭露可在多種範例中重複參考數字及/或字母。該重複之目的係為簡化及清晰易懂,且本身並不規定超出所述範圍之多種實施例及/或配置間之關係。
此外,以下之本揭露所示之如一特徵在另一特徵之上、一特徵連結至另一特徵及/或一特徵耦合至另一特徵的結構,可包含特徵間以直接接觸形成之實施例,亦可能包含存在附加特徵形成於特徵之間,而使特徵間並非直接接觸之實施例。除此之外,空間相對術語,例如:「低於」、「高於」、「水平」、「垂直」、「在...上方」、「上面」、「下面」、「在...下方」、「上」、「下」、「頂」、「底」等,與其衍生辭(例如:「水平地」、「向下地」、「向上地」等)將被使用,以使本揭露中特徵與另一特徵間之關係易於理解。該等空間相對術語亦欲涵蓋包含特徵在內之元件其不同方位。
隨著特徵尺寸的微縮,遮罩特徵與形成於工作件之特徵間的差異對元件性能產生更大的影響。以一簡單線條為例,各種效應可能傾向於使線端變圓而非產生清晰的角,且可能傾向於產生寬度不規則之線條。這些效應可來自照明源、光罩、微影系統、製程(例如:顯影、蝕刻、沉積等)及/或其他來源。當然,這些僅是發生於積體電路結構中之特徵差異及其原因的一些例子。其他如厚度變化、位置變化及不規則可以單獨或共同發生。上述變化之影響可能隨著特徵尺寸之微縮而加劇,因為變化保持相同或變大,因此缺陷相對於特徵會顯得增加。
為了對此進行補償,本揭露的許多範例接收具指定形狀之佈局,上述指定形狀用以形成於遮罩之上,且接著形成於工作件之上。佈局形狀藉由各種校正程序進行修改,如此當遮罩基於佈局而形成時,可在工作件上印刷出 預期特徵。於此等範例中,這包括使用佈局中之形狀以及對佈局及/或微影系統的潛在修改來模擬微影製程,以確定工作件上之致使特徵(resulting features)。可將模擬結果與微影標的進行比較,且可透過致使特徵與標的間的接近程度評估各個潛在修改。可基於上述結果來選擇修改並將其應用於此佈局。
因為工作件與工作件之間的製程條件之變化無可避免,因此可在多於一組的製程條件下進行模擬。於此等範例中,這透過為特定的製程條件組合選擇特定的微影標的來完成。具體來說,可基於其他製程條件下之模擬結果來決定一些標的。模擬標的可被配置以優化用於跨製程條件均勻性之修改,而非符合理想特徵。以這種方式和其他方式,一些實施例降低條件可變性,改善對比度,改善特徵保真度,並為微影製程提供其他優點。然而,應理解的是,任何特定實施例並未要求特定優點。
如下所述,本揭露提供用於修改遮罩圖案以補償微影效應及其他製造變化之一技術,以便於增加最終圖案之均勻性。以第1圖為參考,第1圖描述根據遮罩用於曝光工作件之系統之範例及可能影響最終特徵之效應之範例。第1圖係根據本揭露多種實施例之微影系統100之操作圖。微影系統100亦可被稱為掃描儀,可操作來利用特徵輻射源及曝光模式以執行微影曝光製程。於所示實施例中,微影系統100係為極紫外線(EUV)微影系統,被設計為以波長範圍於約1nm和約100nm間之EUV輻射曝光工作件。在一些示範性實施例中,微影系統100包括用以產生波長以約13.5nm為中心之EUV輻射之輻射源102。在一個這樣的實施例中,EUV輻射源102利用雷射產生電漿(laser-produced plasma,LPP)藉由以雷射將諸如錫液滴之介質加熱至高溫電漿來產生EUV輻射。
微影系統100亦可包括施照體(illuminator)104,其聚焦和形塑產生自輻射源102之輻射。施照體104可包括折射光學組件,包括複數單片透鏡及/或複數陣列透鏡(例如:波帶片(zone plate)),且可包括反射光學組件,包括複數 單片鏡和/或複數陣列鏡。第1圖所示之光學組件其數量為清楚起見而有所減少,且在實際實施例中,施照體104包括數十乃至數百之透鏡及/或鏡面。光學組件被佈置及對準以將由輻射源102放射之輻射投射至保持於遮罩載台108上之遮罩106。示範性的遮罩106將會在後續附圖中有更加詳細的描述。施照體104之光學組件亦可沿著光路形塑輻射以在遮罩106上產生特定光照圖案。
在穿過遮罩106或反射自遮罩106後,輻射被導引穿過投影光學模組(projection optics module)110,投影光學模組110亦被稱為投影光學盒(Projection Optics Box,POB)。與施照體104相似,投影光學模組110可包括折射光學組件,包括複數單片透鏡及/或複數陣列透鏡(例如:波帶片,zone plate),且可包括反射光學組件,包括複數單片鏡和/或複數陣列鏡。投影光學模組110之光學組件被佈置及對準以導引透射過遮罩106或反射自遮罩106之輻射,並將上述輻射投射至保持於基板載台114上諸如圖示之半導體基板或其他合適工作件之工作件112上。除導引輻射外,投影光學模組110之光學組件亦可沿光路放大、縮小、聚焦及/或以其他方式形塑輻射。
由投影光學模組110投射至工作件112之輻射會導致目標之光敏組件(photosensitive component)產生變化。在一範例中,工作件112包括具有一光阻116之半導體基板。光阻116被輻射曝光之部分經歷化學轉變,使其對顯影製程更加敏感或不敏感。在一示範性實施例中,於曝光後,光阻116經歷曝後烘烤、顯影、沖洗及乾燥以完成轉變。對工作件112執行之後續製程步驟可使用剩餘光阻116形成之圖案以選擇性地處理工作件112之部分。
如上所述,許多效應可能導致形成於光阻116之圖案相異於預期之圖案。這些效應可包括光學效應如繞射、邊緣效應及干涉。圖案之差異亦可能由系統100之各方面所引起。舉例來說,系統100提供之照明可能在工作件112上或工作件112間變化。換句話說,即使使用無缺陷之光學元件及遮罩,系統100 中之光束路徑複雜度及光學效應可能導致劑量(即曝光強度)於給定之工作件112上變化,以及劑量隨工作件變化。相似地,由於光束路徑、光學元件之品質、工作件112之變化、光阻116表面之不規則性及/或其他因素,投影特徵的焦點可能在工作件112上和工作件之間變化。因此,於下列範例中,遮罩106特徵被配置以補償光學效應及製程條件諸如劑量變化、焦點變化、包括遮罩缺陷之製造缺陷及/或影響形成於光阻116之特徵的其他條件。
以第2A圖、第2B圖及第3圖至第7C圖為參考,圖中描述用於製造一微影遮罩之技術。第2A圖及第2B圖係根據本揭露多個實施例,定義用於製造積體電路之遮罩之方法200之流程圖。附加步驟可提供於方法200之前、之中及之後,且對於方法200之其他實施例,所描述之步驟可被取代或消除。第3圖係根據本揭露多個實施例之圖示,為用於製造經歷方法200之積體電路之佈局300之一部分。第4圖係根據本揭露多個實施例之圖例400,為製程窗口內製程條件之圖例。第5A圖至第7C圖係根據本揭露多個實施例之圖示,為於方法200過程間之模擬環境之圖示。具體來說,第5A圖、第6A圖及第7A圖為第一模擬環境500之圖示;第5B圖、第6B圖及第7B圖為第二模擬環境520之圖示;第5C圖、第6C圖及第7C圖則為第三模擬環境540之圖示。
首先參考第2A圖之操作202及第3圖,佈局300被接收以用於遮罩106之製造。在各種範例中,佈局300採用資料檔案儲存於非暫態電腦可讀取媒體(non-transitory computer-readable medium)之形式,且以諸如GDS Ⅱ、OASIS及/或MEBES®之設計標準來表示,其中MEBES®為應用材料公司(Applied Materials)之註冊商標。佈局300可為積體電路之數位表述,且佈局300之形狀302可對應並定義遮罩之物理特徵,並可藉由擴充以對應並定義由遮罩形成之積體電路其特徵。由於佈局300可包括數以百萬計或更多之形狀302,因此第3圖之佈局302已被簡化,僅出示形狀302之子集以使說明清晰易懂。在多個實施例中, 佈局300之形狀302對應積體電路工作件之特徵(例如:摻雜阱、摻雜主動區、元件閘極、接點、互連線路、互連通孔接點(interconnect vias)等),且在一些範例中,當佈局300被接收時,形狀302對應用於將形成在一工作件112上之積體電路特徵之理想化版本。
為補償各種光學及製造效應,方法200可更動現存的形狀302且可將附加的形狀302增加至佈局300,如此當遮罩106基於佈局300而形成時,致使(resulting)積體電路特徵密切地對應至理想化版本。為補償這些效應而修改佈局300之程序可被稱為光學鄰近校正(Optical Proximity Correction,OPC)。OPC包括基於模型之補償,其中圖案匹配被用以決定校正形狀;以及包括基於模擬之補償,其中微影製程會被模擬並基於模擬結果修改形狀。在後續之一範例中,對具有或不具有潛在OPC修改之佈局300之形狀302執行複數模擬,以模擬微影製程。可將模擬結果與一組標的進行比較,且此等差異可用以決定將哪個潛在修改併入佈局300。為增進工作件112上及工作件間之一致性,可在最佳製程條件以及製程窗口內多種低於最佳(less-than-optimal)條件下進行模擬。
第4圖之資訊顯示各種製程條件。在這方面,第4圖顯示製程條件之三軸圖例400,各軸表示一製程參數之值(條件)。在一範例中,第一軸402代表遮罩誤差(例如:預期遮罩特徵與實際形成之遮罩特徵間的變異、遮罩特徵之預期反射率/透射率/相移與實際反射率/透射率/相移間之變異等)。在上述範例中,第二軸404代表輻射照射光阻116之焦點變異(即,散焦)。在上述範例中,第三軸406代表輻射照射光阻116之劑量變化。當然,這些製程參數僅是示範性的,且其他範例使用任意數量之軸並同樣考慮任何數量之製程參數。舉例來說,在多個實施例中,一軸代表薄膜疊層靈敏度、像差靈敏度、顯影液變異性、蝕刻變異性及/或其他合適之參數。同樣地,一參數(如散焦)可根據散焦源而被分解成多個軸。
模擬可在製程窗口408內的複數製程條件下執行,其中製程窗口408為製程參數之一組期望值。舉例來說,標記410A代表使用遮罩誤差、散焦及劑量最佳值之微影模擬。標記410B代表在散焦值及劑量值相異於最佳值下,使用遮罩誤差最佳值之微影模擬。相似地,標記410C代表在散焦值及劑量值相異於最佳值下,使用遮罩誤差最佳值之微影模擬。其他用於模擬之示範性製程條件以複數標記410表示,須注意的是,模擬可在製程窗口408之邊界(例如:邊緣及/或角落)以及非邊界條件下執行。這些製程條件下之模擬結果可與目標進行比較並用以修改佈局。
參考第2A圖之操作204及第5A圖至5C圖,基於佈局300之形狀302決定一組標的輪廓(本文中亦稱之為標的微影輪廓)502。標的輪廓502可代表光阻116之已曝光或未曝光區域。如上所述,光阻116可包括光敏材料,當曝光之光劑量超過特定臨界值時,使光阻116經歷性質變化。性質變化可使光阻116對顯影液更加敏感或不敏感。以這種方式,性質變化可用來選擇性地移除光阻層中已曝光或未曝光之區域。標的輪廓502可代表介於達到或超過臨界劑量之區域及未達到臨界劑量之區域間之邊界。附加地或代替地,標的輪廓502可代表以已圖案化光罩形成於工作件112上之特徵之邊界,並說明特徵邊界上之製程效應。由於可在多於一組之製程條件下執行模擬,在一些範例中,可決定多於一組之標的輪廓502,且個別標的輪廓可在對應於特定一組製程條件之模擬環境(例如:第一模擬環境500、第二模擬環境520、第三模擬環境540等)下實例化。
因此,參考第2A圖之操作206及第5A圖,決定標的輪廓502的操作可包括為第一模擬環境500決定一第一組標的輪廓502,上述第一模擬環境500模擬最佳製程條件。第一組標的輪廓502可對應接收佈局300時之形狀302。然而,為了避免對理想結果之積極追求,第一組標的輪廓502可包括已被確定為了安全良率(yield-safe)之一些真實世界效應(real-world effect),例如一定程度之角圓化 及/或厚度變化。
參考第2A圖之操作208以及第5B圖與第5C圖,決定標的輪廓502的操作可包括為複數模擬環境(例如:第二模擬環境520、第三模擬環境540等)決定複數標的輪廓502,上述模擬環境模擬相異於最佳值之製程條件。舉例來說,一第二組標的輪廓502可用於一第二模擬環境520,其塑造一第一散焦量(△defocus1)及一第一劑量變化量(△dose1);且一第三組標的輪廓502可用於一第三模擬環境540,其塑造一第二散焦量(△defocus2)及一第二劑量變化量(△dose2)。相似於第一組標的輪廓502,這些標的輪廓502可部分基於被接收時包括一些真實世界效應(如一定程度之角圓化及/或厚度變異)之佈局300之形狀302。
此外,已經確定藉由仔細選擇標的輪廓,可在製程窗口上達成更大的均勻性。因此,在一些範例中,第二及第三模擬環境中之標的輪廓502係基於在不同製程條件下,對佈局300之形狀(如同它們當前存在,包括任何先前決定之修改)進行模擬。於第二模擬環境520塑造具有超過一個製程參數(例如:△defocus1及△dose1)為非最佳條件之範例中,第二模擬環境520之標的輪廓502係決定自形狀302之模擬,一如形狀302當前存在於佈局300,其中至少一非最佳條件被設為最佳值(例如:在非最佳散焦值△defocus1且劑量為最佳值或散焦為最佳值而劑量為非最佳值△dose1下進行模擬)。如下將更詳細解釋,這具有優化佈局300以減少非最佳參數變化之效果。於此範例中,第三模擬環境540塑造具有超過一個製程參數(例如:△defocus2及△dose2)的非最佳條件,且第三組標的輪廓502係決定自形狀302之模擬,一如形狀302當前存在於佈局300,其中至少一非最佳條件被設為最佳值(例如:在非最佳散焦值△defocus2且劑量為最佳值或散焦為最佳值而劑量為非最佳值△dose2)。
在一些範例中,藉由平均多於一組評估中之製程條件以決定標的輪廓。於此等範例中,用於模擬之第二組標的輪廓502及第三組標的輪廓502具 有一些相同之製程條件。在一個這樣的範例中,遮罩誤差(最佳遮罩誤差)相同,劑量(△dose1=△dose2)相同,而散焦量則不同。因此,於多個模擬之間變化之一個或多個製程條件可被平均以決定標的輪廓。換句話說,第二組標的輪廓502及第三組標的輪廓502可決定自形狀302之模擬,一如形狀302當前存在於佈局300中,且條件為:最佳遮罩誤差、△dose1(基本相等於△dose2)以及為△defocus1與△defocus2平均之散焦。這具有優化佈局300以降低被平均之參數之變化的效果。
參考第2A圖之操作210及第6A圖至第6C圖,執行模擬以模擬形狀302之微影製程,一如在各種製程條件下形狀302當前存在於佈局300中。在第6A圖的範例中,這可包括在遮罩誤差、焦點及劑量之最佳量下,佈局300之微影模擬。這產生第一組模擬輪廓602,可代表介於光阻116之已曝光與未曝光區域間之邊界,以及具體代表光阻116中達到或超過臨界劑量之區域與未達到臨界劑量之區域。在一些範例中,第一組模擬輪廓602代表形成於工作件112上之特徵的邊界。在第6B圖的範例中,這可包括在最佳遮罩誤差量及△dose1與△defocus1下,佈局300之微影模擬。這產生第二組模擬輪廓602,可代表介於光阻116之已曝光與未曝光區域間之邊界及/或形成於工作件112上之特徵的邊界。在第6C圖的範例中,這可包括在最佳遮罩誤差量及△dose2與△defocus2下,佈局300之微影模擬。這產生第三組模擬輪廓602,可代表介於光阻116之已曝光與未曝光區域間之邊界及/或形成於工作件112上之特徵的邊界。當然,可在任何數量的製程條件下執行模擬。
參考第2A圖之操作212及第7A圖至第7C圖,相較於標的輪廓502而評估模擬輪廓602。在一些範例中,這包括基於標的輪廓502之邊緣與模擬輪廓602之邊緣間的差異來決定邊緣位置誤差702。可為佈局中每個形狀302決定任何數量之邊緣位置誤差702,且每個邊緣位置誤差702可對應於相應形狀302之特定片段。同樣地,每組標的輪廓及模擬輪廓可具有對應之邊緣位置誤差702,且 可在模擬與製程條件間比較對應之邊緣位置誤差702。
於操作212中評估模擬輪廓602亦可包括其他評估,如為輪廓計算成像對數斜率(Image Log Slope,ILS)及/或正規化成像對數斜率(Normalized Image Log Slope,NILS)。ILS及NILS係為光強度如何劇變之度量。因此,ILS及NILS可用於對比度之檢查。明確界定的從暗到亮之轉變,提供精確的曝光及明確定義的特徵。定義不清之轉變可能使遮罩特徵無法完全印刷。因此,包括基於ILS及NILS之對比度檢查可用於評估操作212中之模擬輪廓602。
參考第2A圖之操作214,在此決定佈局300是否適用於製造光罩。此決定可部分取決於邊緣位置誤差702是否適當地小(例如:小於臨界值)。此決定亦可考量佈局300是否滿足各種設計規範如遮罩規範檢查(Mask Rule Check,MRC)、設計規範檢查(Design Rule Check,DRC)、電子規範檢查(Electrical Rule Check,ERC)及/或其他合適之設計規範。在一些這樣的範例中,操作214中之決定包括一對比度檢查如基於ILS及/或NILS之檢查,以決定佈局300是否適合用於遮罩製造。
在一些範例中,操作214之決定考量遮罩性能度量如遮罩誤差增加因數(Mask Error Enhancement Factor,MEEF)。MEEF衡量遮罩特徵尺寸之變化如何影響對應之工作件特徵。MEEF考慮光路中之放大率以及遮罩106與工作件112間之非線性光學關係。因此,MEEF及/或其他遮罩性能度量可用於決定佈局是否合適。若在操作214中決定佈局300為合適,則佈局300可被提供至操作216以製造遮罩。
若決定遮罩尚未適用於製造(例如:邊緣位置誤差702不小於臨界值),則在第2B圖之操作218中,用於佈局300及/或微影系統之一組潛在修改會基於如邊緣位置誤差702之衡量指標而被評估。在一些範例中,修改包括擴張或收縮形狀302之部分,特別是形狀之轉角,以降低邊緣位置誤差702。這可具有於 形狀302之轉角形成鎚頭及/或襯線之效果。此外,因緊密間隔之遮罩特徵可能因建設性干涉(constructively interfere)而在工作件上產生更集中的輻射劑量,所以在一些範例中,一組修改可包括將非印刷輔助特徵或散射條加入佈局300以降低相鄰印刷形狀302之邊緣位置誤差。修改亦可包括對微影系統100設置之改變,諸如施照體104、輻射源102、投影光學模組110等之改變。
參考第2B圖之操作220,對各個潛在修改執行微影模擬。這基本上可如第2A圖之操作210所述般執行。操作220之模擬可使用包括任何數量的不同製程條件下之潛在修改之佈局以模擬微影曝光及工作件之製造。在一範例中,這包括在遮罩誤差、焦點及劑量皆為最佳量下之第一模擬,以產生第一組模擬輪廓602;在最佳遮罩誤差量及△dose1與△defocus1下之第二模擬,以產生第二組模擬輪廓602;以及在最佳遮罩誤差量及△dose2與△defocus2下之第三模擬,以產生第三組模擬輪廓602。當然,可在任何數量之不同製程條件下執行模擬。
參考第2B圖之操作222,相對於標的輪廓502評估各個潛在修改之模擬輪廓602。這基本上可如第2A圖之操作212般執行,且標的輪廓502可為與上述相同之輪廓。在一些範例中,評估包括基於標的輪廓502之邊緣與模擬輪廓602之邊緣間之差異,以決定邊緣位置誤差702。可為佈局中每個形狀302決定任何數量之邊緣位置誤差702,且每個邊緣位置誤差702可對應於相應形狀302之特定片段。同樣地,每組標的輪廓及模擬輪廓可具有對應之邊緣位置誤差702,且可在模擬與製程條件間比較對應之邊緣位置誤差702。對操作222之模擬輪廓602之評估亦可包含其他評估,包括對比度檢查如基於ILS及/或NILS之檢查及/或遮罩檢查如MEEF。
評估可決定潛在修改中之何者能藉由降低邊緣位置誤差702而改善成品遮罩(resulting mask)之整體品質。須注意的是,在一組製程條件下為改善遮罩品質而對佈局300所進行之修改,可能會對另一組製程條件下之遮罩品質產 生不利之影響。因此,在一些範例中,使用如成本最小化之多變量解法技術(multivariate solution technique)以在操作222中評估修改。在一些這樣的範例中,成本函數被定義以考慮不同製程條件下之邊緣位置誤差702及/或其他度量,且迭代成本最小化技術(iterative cost minimization technique)用於決定使成本最小化的修改。
在一些這樣的範例中,成本函數被建構為一平方和:Cost=Σ EPE 2其中Cost代表對佈局300之特定修改之最終成本,而EPE則代表特定製程條件下之邊緣位置誤差。在進一步的範例中,邊緣位置誤差被單獨加權,且對附加因數之考慮系使用一成本函數:Cost=Σ ω|EPE| n Penalties其中Cost代表對佈局300之特定修改之最終成本、EPE代表特定製程條件下之邊緣位置誤差、ω代表用於特定邊緣位置誤差之加權因數、n代表多項式加權值、而Penalties則代表與修改相關之數字代價。Penalties項可用於加權可能違反設計規範(例如:MRC、DRC、ERC等)之修改;可不滿足性能指標(例如:ILS、NILS、焦點深度(DoF)等);可不滿足遮罩規範(例如:MEEF);對遮罩製造、微影及/或製造有不利影響;及/或產生其他不利條件。
對佈局300及/或微影系統100之潛在修改(例如:移動形狀302之邊界、加入/移動/移除非印刷特徵等)以成本函數進行評估,接著在後續操作中,可將產生最低成本之修改實行於佈局300。若最低成本修改超過最大成本臨界值,則會觸發警報。
已確定的是,對一些形狀302及佈局300而言,將所有製程條件之複數模擬輪廓602與單一標的輪廓502進行比較之解決方案(例如:具有或不具有 一些角圓化之理想特徵形狀),會傾向於在最極端條件下過度校正邊緣位置誤差。當製程條件相異於最佳值時,這具有增加特徵形成之變化性的效果。使用對特定製程條件量身訂製之模擬標的輪廓可彌補這點。因此,許多本實施例對不同之製程條件使用不同之標的輪廓,且此同時,解法技術(solution technique)可最小化於各製程條件形成於工作件112上之特徵變化。尤其是使用模擬結果來為非最佳製程條件產生標的輪廓,解法技術可集中於最小化變化性及及改善對比度,而非在非最佳製程條件下積極地嘗試使結果接近於理想。因此,本技術在遮罩製造以及使用遮罩之微影系統100之功能(例如:均勻性、再現性等)方面提供改進。
參考第2B圖之操作224,操作222中所決定具有最低成本之修改被實行於佈局300及/或系統100,且步驟回到操作204。這可持續到方法200在操作214中決定以佈局300製造之相應遮罩適用於微影曝光工作件112。
以此方式,方法200提供用於微影遮罩之佈局300。一遮罩製造範例之描述可參考第8圖至第11圖。在這方面,第8圖係根據本揭露多種實施例,用於製造微影遮罩之方法800之流程圖。附加步驟可提供於方法800之前、之中及之後,且對於方法800之其他實施例,所描述之步驟可被取代或消除。第9圖及第10圖係根據本揭露各種實施例製造之遮罩900之部分之截面圖。第11圖係根據本揭露各種實施例製造之遮罩900之部分之俯視圖。因為一遮罩可包含數以百萬計之遮罩特徵(或更多),因此僅顯示特徵之一小部子集以使說明清晰易懂。完成之遮罩900基本上相似於第1圖之遮罩106,且適用於所示之微影系統100。
參考第8圖之操作802及第9圖,用於製造之遮罩900被接收,因為遮罩900尚未圖案化,因此可被稱為遮罩坯料。第9圖之遮罩900代表反射式遮罩,但本公開之原理亦可應用於透射式遮罩。
遮罩900包括形成於遮罩基板902上之各層。遮罩基板902可包括低 熱膨脹材料(Low Thermal Expansion Material,LTEM),例如石英、LTEM玻璃、矽、碳化矽、氧化矽、氧化鈦、Black Diamond®(應用材料公司之商標)及/或其他合適之遮罩基板。遮罩900可包括設置於遮罩基板902上之反射結構904,如多層鏡(MLM)。MLM可包括以厚度及/或材料定制之多個交替材料層,以在減少光吸收的同時達成在各個材料介面反射之輻射的最佳建設性干涉。在一示範性實施例中,MLM包括40對交替的鉬與矽(Mo-Si)層。在進一步的多個示範性實施例中,MLM包括20對及80對交替的鉬與鈹(Mo-Be)層。到達反射結構904之輻射會被反射回來以用於曝光工作件112之光阻116。覆蓋層906(亦稱為緩衝層)可設置於反射結構904上,且可包括材料如釕、二氧化矽、非晶碳及/或其他合適之材料。
遮罩900包括設置在覆蓋層906上之吸收層908。顧名思義,吸收層908吸收輻射並防止輻射曝光工作件112。適合用於吸收層908之材料包括氮化鉭、氮硼化鉭、氮化鈦、鉻、其組合物及/或其他適於吸收之材料。在一些實施例中,吸收層908包含多層吸收材料,例如:鉻層及氮化鉭層。吸收層908亦可包括抗反射塗層(ARC),合適之ARC材料包括氧硼化鉭(TaBO)、三氧化二鉻、二氧化矽、氮化矽、五氧化鉭、氮氧化鉭及/或其他合適之材料。
參考第8圖之操作804及第10圖與第11圖,遮罩之吸收層908基於如上述佈局300之佈局而被圖案化。在一些範例中,吸收層908使用直接寫入(direct-write)製程圖案化,其中雷射、電子束(e-beam)、離子束或其他窄聚焦射線(narrow-focused emission)削弱及/或移除吸收層908之部分。
在一些範例中,相似於上述光阻116且對直接寫入射線(例如:雷射敏感光阻、電子束敏感光阻、離子束敏感光阻等)相當敏感之光阻,被形成於吸收層上並以直接寫入機台曝光。接著,將光阻進行顯影以選擇性地移除非曝光區域或已曝光區域,從而移除吸收層908之曝露部分。蝕刻技術(例如:乾式蝕 刻、濕式蝕刻、反應式離子蝕刻(RIE)等)可被執行以移除吸收層908之已曝光部分。
參考第8圖之操作806,已圖案化遮罩106被提供以被用於製造積體電路之微影製程或其他合適之微影製程利用。
在多個實施例中,上述技術之執行係透過專屬固定功能計算元件(fixed-function computing elements)與執行軟體指令之可程式化計算元件(programmable computing elements)之組合來完成。因此,應理解的是,方法200及/或方法800之任何步驟可由計算系統使用儲存於處理系統可存取之非暫態機器可讀取媒體(non-transitory machine-readable medium)上或其中之相應指令來實行。對此系統與非暫態機器可讀取媒體範例之敘述可參考第12圖。第12圖係根據本揭露之多種實施例之微影環境之區塊圖。
微影環境1200包括一控制系統1202。控制系統1202包括處理資源1204,處理資源1204可包括任何數量及類型之處理元件,例如中央處理單元(CPU)、圖形處理單元(GPU)、特殊應用積體電路(ASIC)、微控制器及/或其他合適之處理元件。處理資源1204耦合至有形的(tangible)非暫態機器可讀取媒體1206以執行儲存於非暫態機器可讀取媒體1206上之指令。出於本描述之目的,有形的非暫態機器可讀取媒體1206可為任何設備,其能儲存程式以供指令執行系統、設備或裝置使用,或用以連結此指令執行系統、設備或裝置。非暫態機器可讀取媒體1206可包括非揮發性記憶體,其包括磁儲存器、固態儲存器、光儲存器、快取記憶體及/或電池備援隨機存取記憶體(RAM)。在多種範例中,非暫態機器可讀取媒體1206儲存指令使處理資源1204執行定義用於製造積體電路之遮罩之方法200之製程及/或製造遮罩之方法800之製程。
為此目的,控制系統1202可包括製造介面1208,其向微影系統100及/或遮罩製造系統1210發送和接收信號。控制系統1202亦可包括I/O介面1212, 用於與使用者及/或其他計算系統溝通測試資料及結果。因此,I/O介面1212可包括用於視訊輸出之控制器(例如:GPU)、使用者輸入端(例如:用於鍵盤的控制器、滑鼠、筆輸入裝置、觸控板等)、網絡控制器(例如:以太網路及/或無線通信控制器)及/或其他合適之I/O控制器。
因此,本揭露提供用於執行光學鄰近校正及用於形成光罩之系統與技術之多個範例。在一些範例中,一種方法包括接收包括欲形成於光罩上之形狀之佈局。為上述形狀決定多個標的微影輪廓,其中包括用於第一組製程條件之第一標的微影輪廓,以及用於第二製程條件之第二標的微影輪廓,且第二標的微影輪廓相異於第一標的微影輪廓。執行上述佈局之微影模擬,以在第一組製程條件下產生第一模擬輪廓,以及在第二組製程條件下產生第二模擬輪廓。決定介於第一模擬輪廓與第一標的微影輪廓間之第一邊緣位置誤差,以及決定介於第二模擬輪廓與第二標的微影輪廓間之第二邊緣位置誤差。基於第一邊緣位置誤差及第二邊緣位置誤差決定對佈局之修改,並提供具有修改之佈局以製造光罩。於此等範例中,第一組製程條件對應最佳製程條件,而第二組製程條件則包括不同於最佳值之製程條件。於此等範例中,第二標的微影輪廓係根據第三組製程條件對佈局之模擬,其中第三組製程條件有別於第二組製程條件。於此等範例中,第二組製程條件包括相異於最佳值之製程條件,且第三組製程條件包括最佳值。於此等範例中,多個標的微影輪廓還包括用於第四組製程條件之第三標的微影輪廓,在第四組製程條件下對佈局執行之微影模擬更進一步產生第三模擬輪廓,且第三組製程條件包括之數值係為第二組製程條件之值與第四組製程條件之值之平均值。於此等範例中,第三標的微影輪廓係基於第三組製程條件下對佈局之模擬。於此等範例中,對佈局修改之決定包括對佈局之多個可能修改之成本最小化分析。於此等範例中,成本最小化分析包括決定多個可能修改之個別成本,此決定係基於在第一組製程條件及第二組製程條件下, 與個別修改關聯之邊緣位置誤差。於此等範例中,成本係基於第一邊緣位置誤差及第二邊緣位置誤差之平方和。
在進一步的範例中,一種方法包括接收用以製造遮罩之佈局。決定對應多組製程條件之多個標的輪廓,其中多個標的輪廓中之第一輪廓相異於多個標的輪廓中之第二輪廓。對於對佈局之多個潛在修改中的每一個:在多組製程條件下模擬個別潛在修改之微影製程,以產生多個模擬輪廓;基於多個模擬輪廓及多個標的輪廓以決定多個邊緣位置誤差;並基於多個邊緣位置誤差將成本與個別潛在修改相關聯。提供佈局及多個潛在修改中具有最低相關成本之修改,用以製造遮罩。於此等範例中,多個標的輪廓中之第二輪廓對應第二組製程條件,且第二輪廓係基於在第三組製程條件下對佈局進行之模擬,其中第三組製程條件相異於第二組製程條件。於此等範例中,第二組製程條件包括製程參數之數值,此數值不同於製程參數之最佳值,且第三組製程參數包括最佳值。於此等範例中,第三組製程條件包括一數值,此數值系包括第二組製程條件之多組製程條件的子集之平均值。於此等範例中,成本更基於與個別潛在修改關聯之製造代價。於此等範例中,成本係基於邊緣位置誤差之平方和。
在更進一步的範例中,一種方法包括接收包括有形狀之佈局,此形狀應於將形成於工作件上之特徵。對佈局執行之光學鄰近校正之決定係透過:在製程窗口內為對應於製程條件之形狀決定多個標的輪廓,其中多個標的輪廓中之第一輪廓相異於多個標的輪廓中之第二輪廓;於製程窗口內,在製程條件下對佈局模擬多個潛在修改以產生模擬輪廓;以及基於對模擬輪廓與多個標的輪廓之比較,評估多個潛在修改之成本。提供佈局及多個潛在修改中具有最低成本之修改,以製造用於將特徵形成於工作件上之光罩。於此等範例中,製程條件包括對應於最佳製程條件之第一組,以及包括不同於最佳值之數值的第二組。於此等範例中,第二組包括用於第一製程參數且不同於第一最佳值之第一 值,以及包括用於第二製程參數且不同於第二最佳值之第二值。於此等範例中,對應於第二組之多個標的輪廓中之一標的輪廓係基於第三組製程條件下佈局之模擬,其中第三組製程條件包括第一製程參數之第一值以及第二製程參數之第二最佳值。於此等範例中,成本係基於模擬輪廓與多個標的輪廓間之邊緣位置誤差。
前述內文概述多項實施例之特徵,如此可使於本技術領域中具有通常知識者更佳地瞭解本揭露。本技術領域中具有通常知識者應當理解他們可輕易地以本揭露為基礎設計或修改其他製程及結構,以完成相同之目的及/或達到與本文介紹之實施例相同之優點。本技術領域中具有通常知識者亦需理解,這些等效結構並未脫離本揭露之精神及範圍,且在不脫離本揭露之精神及範圍之情況下,可對本揭露進行各種改變、置換以及變更。
200‧‧‧方法
202-216‧‧‧操作

Claims (13)

  1. 一種光罩製造方法,包括:接收一佈局,上述佈局包括將形成於一光罩上之一形狀;為上述形狀決定多個標的微影輪廓,上述標的微影輪廓包括用於一第一組製程條件之一第一標的微影輪廓,以及用於一第二製程條件之一第二標的微影輪廓,上述第二標的微影輪廓不同於上述第一標的微影輪廓;執行上述佈局之一微影模擬,以在上述第一組製程條件下產生一第一模擬輪廓,以及在上述第二組製程條件下產生一第二模擬輪廓;決定介於上述第一模擬輪廓與上述第一標的微影輪廓間之一第一邊緣位置誤差,以及決定介於上述第二模擬輪廓與上述第二標的微影輪廓間之一第二邊緣位置誤差;決定對上述佈局之一修改,上述修改係基於上述第一邊緣位置誤差及上述第二邊緣位置誤差;以及提供具有上述修改之上述佈局以製造光罩。
  2. 如申請專利範圍第1項所述之光罩製造方法,其中上述第一組製程條件對應最佳製程條件;上述第二組製程條件包括不同於一最佳值之一製程條件;以及上述第二標的微影輪廓係取決於在一第三組製程條件下對上述佈局之一模擬,上述第三組製程條件不同於上述第二組製程條件。
  3. 如申請專利範圍第2項所述之光罩製造方法,其中:上述第二組製程條件包括不同於一最佳值之一製程條件;以及上述第三組製程條件包括上述最佳值。
  4. 如申請專利範圍第2項所述之光罩製造方法,其中:上述標的微影輪廓還包括用於一第四組製程條件之一第三標的微影輪廓, 其中上述第三標的微影輪廓係取決於上述第三組製程條件下對上述佈局之模擬;在上述第四組製程條件下,對上述佈局執行之微影模擬更產生一第三模擬輪廓;以及上述第三組製程條件包括一數值,上述數值係上述第二組製程條件之數值與上述第四組製程條件之數值之平均值。
  5. 如申請專利範圍第1項所述之光罩製造方法,其中決定對上述佈局修改之步驟包括對上述佈局之多個可能修改之成本最小化分析;其中上述成本最小化分析包括基於在上述第一組製程條件及上述第二組製程條件下上述可能修改之每一者所關聯的邊緣位置誤差,決定上述可能修改之個別成本;其中上述成本係取決於上述第一邊緣位置誤差及第二邊緣位置誤差之平方和。
  6. 一種光罩製造方法,包括:接收用以製造一遮罩之一佈局;決定對應多組製程條件之多個標的輪廓,其中上述標的輪廓中的一第一輪廓不同於上述標的輪廓中的一第二輪廓;對上述佈局之多個潛在修改之每一者進行下列步驟:在上述製程條件下模擬個別潛在修改之一微影製程,以產生多個模擬輪廓;基於上述模擬輪廓及上述標的輪廓,決定多個邊緣位置誤差;基於上述邊緣位置誤差將一成本與上述個別潛在修改相關聯;以及提供上述佈局及上述潛在修改中具有最低相關成本之一修改,用以製造上述遮罩。
  7. 如申請專利範圍第6項所述之光罩製造方法,其中上述標的輪廓中之上述第二輪廓對應一第二組製程條件,且上述第二輪廓係取決於在不同於上述第二組製程條件之一第三組製程條件下對上述佈局進行之模擬。
  8. 如發明申請專利範圍第7項所述之光罩製造方法,其中:上述第二組製程條件包括一製程參數之一數值,上述數值不同於上述製程參數之一最佳值;以及上述第三組製程參數包括上述最佳值。
  9. 如申請專利範圍第7項所述之光罩製造方法,其中上述第三組製程條件包括一數值,上述數值為包括上述第二組製程條件之上述製程條件的一子集之平均值。
  10. 如申請專利範圍第6項所述之光罩製造方法,其中上述成本更取決於:個別潛在修改所關聯之一製造代價;以及上述邊緣位置誤差之平方和。
  11. 一種光罩製造方法,包括:接收一佈局,上述佈局包括一形狀,上述形狀對應於將形成於工作件上之一特徵;藉由下列步驟對上述佈局上執行一補償處理,上述步驟包括:在一製程窗口內,為對應多個製程條件之上述形狀決定多個標的輪廓,其中上述標的輪廓中之一第一輪廓不同於上述標的輪廓中之一第二輪廓;於上述製程窗口內,在上述製程條件下對上述佈局模擬多個潛在修改,以產生多個模擬輪廓;基於對上述模擬輪廓與上述標的輪廓之比較,評估上述潛在修改之成本;以及 提供上述佈局及上述潛在修改中具有最低成本之一修改,以製造將上述特徵形成於上述工作件上之一光罩。
  12. 如申請專利範圍第11項所述之光罩製造方法,其中上述製程條件包括對應於多個最佳製程條件之一第一組製程條件,以及一第二組製程條件,上述第二組製程條件包括不同於一最佳值之一數值;上述第二組製程條件包括一第一製程參數之一第一數值,上述第一數值不同於一第一最佳值,上述第二組製程條件包括一第二製程參數之一第二數值,上述第二值不同於一第二最佳值;以及對應於上述第二組之上述標的輪廓中之一標的輪廓係取決於一第三組製程條件下上述佈局之模擬,上述第三組製程條件包括上述第一製程參數之上述第一數值以及上述第二製程參數之上述第二最佳值。
  13. 如申請專利範圍第11項所述之光罩製造方法,其中上述成本係取決於上述模擬輪廓與上述標的輪廓間之多個邊緣位置誤差。
TW107140216A 2017-11-14 2018-11-13 光罩製造方法 TWI752278B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762585914P 2017-11-14 2017-11-14
US62/585,914 2017-11-14
US16/057,277 US10678142B2 (en) 2017-11-14 2018-08-07 Optical proximity correction and photomasks
US16/057,277 2018-08-07

Publications (2)

Publication Number Publication Date
TW201923444A TW201923444A (zh) 2019-06-16
TWI752278B true TWI752278B (zh) 2022-01-11

Family

ID=66335418

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140216A TWI752278B (zh) 2017-11-14 2018-11-13 光罩製造方法

Country Status (3)

Country Link
US (3) US11243472B2 (zh)
DE (1) DE102018125109B4 (zh)
TW (1) TWI752278B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018125109B4 (de) * 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
WO2021061277A1 (en) * 2019-09-23 2021-04-01 Applied Materials, Inc. Lithography simulation and optical proximity correction
TWI729593B (zh) * 2019-11-27 2021-06-01 台灣積體電路製造股份有限公司 積體電路之製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080127027A1 (en) * 2006-11-02 2008-05-29 International Business Machines Corporation Printability verification by progressive modeling accuracy
TWI432887B (zh) * 2003-10-24 2014-04-01 Shinetsu Chemical Co 相位移空白遮光罩,相位移光罩,及圖案轉移方法
TWI520195B (zh) * 2008-10-08 2016-02-01 Shinetsu Chemical Co A cleaning method of a mask-related substrate, a cleaning method, and a cleaning solution supply device
TWI548932B (zh) * 2012-04-23 2016-09-11 聯華電子股份有限公司 用於雙重曝光製程的光罩組暨使用該光罩組的方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8732625B2 (en) 2007-06-04 2014-05-20 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US8572517B2 (en) * 2008-06-10 2013-10-29 Cadence Design Systems, Inc. System and method for modifying a data set of a photomask
NL2008966A (en) * 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9195134B2 (en) 2013-08-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit mask patterning
US9612541B2 (en) 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9754068B2 (en) 2014-12-09 2017-09-05 Globalfoundries Inc. Method, computer readable storage medium and computer system for creating a layout of a photomask
US9583438B2 (en) 2014-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with misaligned metal lines coupled using different interconnect layer
US9928316B2 (en) * 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
NL2017272A (en) * 2015-08-25 2017-03-01 Asml Netherlands Bv Suppression filter, radiation collector and radiation source for a lithographic apparatus; method of determining a separation distance between at least two reflective surface levels of a suppression filter
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) * 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10534257B2 (en) * 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
DE102018125109B4 (de) * 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
US10678142B2 (en) * 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI432887B (zh) * 2003-10-24 2014-04-01 Shinetsu Chemical Co 相位移空白遮光罩,相位移光罩,及圖案轉移方法
US20080127027A1 (en) * 2006-11-02 2008-05-29 International Business Machines Corporation Printability verification by progressive modeling accuracy
TWI520195B (zh) * 2008-10-08 2016-02-01 Shinetsu Chemical Co A cleaning method of a mask-related substrate, a cleaning method, and a cleaning solution supply device
TWI548932B (zh) * 2012-04-23 2016-09-11 聯華電子股份有限公司 用於雙重曝光製程的光罩組暨使用該光罩組的方法

Also Published As

Publication number Publication date
DE102018125109A1 (de) 2019-05-16
US11789370B2 (en) 2023-10-17
US20230384691A1 (en) 2023-11-30
US20200301289A1 (en) 2020-09-24
US20220155692A1 (en) 2022-05-19
US11243472B2 (en) 2022-02-08
DE102018125109B4 (de) 2022-10-13
TW201923444A (zh) 2019-06-16

Similar Documents

Publication Publication Date Title
CN109782528B (zh) 光学邻近修正和光掩模
JP5198588B2 (ja) モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置
JP4746649B2 (ja) 光近接効果補正のための多変数解法
US5965306A (en) Method of determining the printability of photomask defects
US7694267B1 (en) Method for process window optimized optical proximity correction
CN108205600B (zh) 掩模优化方法及集成电路系统
US11789370B2 (en) Optical proximity correction and photomasks
US10417376B2 (en) Source beam optimization method for improving lithography printability
US10083270B2 (en) Target optimization method for improving lithography printability
JP2004133426A (ja) 自動光近接補正(opc)ルール作成
TWI385546B (zh) 用以最佳化形成於基板上之設計的方法及程式產品
KR20210094040A (ko) 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
US9223911B2 (en) Optical model employing phase transmission values for sub-resolution assist features
CN111492317A (zh) 用于减少抗蚀剂模型预测误差的系统和方法
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
US8584058B2 (en) Methods for defining evaluation points for optical proximity correction and optical proximity correction methods including same
TWI782372B (zh) 改善設計布局的方法及其半導體布局系統
US8769445B2 (en) Method for determining mask operation activities