DE102018125109A1 - Optische Nahbereichskorrektur und Photomasken - Google Patents

Optische Nahbereichskorrektur und Photomasken Download PDF

Info

Publication number
DE102018125109A1
DE102018125109A1 DE102018125109.2A DE102018125109A DE102018125109A1 DE 102018125109 A1 DE102018125109 A1 DE 102018125109A1 DE 102018125109 A DE102018125109 A DE 102018125109A DE 102018125109 A1 DE102018125109 A1 DE 102018125109A1
Authority
DE
Germany
Prior art keywords
process conditions
layout
target
contour
contours
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018125109.2A
Other languages
English (en)
Other versions
DE102018125109B4 (de
Inventor
Dong-Yo Jheng
Ken-Hsien Hsieh
Shih-Ming Chang
Chih-Jie Lee
Shuo-Yen Chou
Ru-Gun Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/057,277 external-priority patent/US10678142B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018125109A1 publication Critical patent/DE102018125109A1/de
Application granted granted Critical
Publication of DE102018125109B4 publication Critical patent/DE102018125109B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Verschiedene Beispiele einer Technik zum Durchführen einer optischen Nahbereichskorrektur und zum Ausbilden einer Photomaske sind hierin vorgesehen. In einigen Beispielen wird ein Layout empfangen, das eine Form umfasst, die auf einer Photomaske ausgebildet werden soll. Es werden eine Mehrzahl von lithographischen Zielkonturen für die Form bestimmt, die eine erste Zielkontur für einen ersten Satz von Prozessbedingungen und eine zweite Zielkontur für einen zweiten Satz von Prozessbedingungen umfassen, die sich von der ersten Zielkontur unterscheidet. Eine lithographische Simulation des Layouts wird durchgeführt, um eine erste simulierte Kontur bei dem ersten Satz von Prozessbedingungen und eine zweite simulierte Kontur bei dem zweiten Satz von Prozessbedingungen zu erzeugen. Eine Modifikation des Layouts wird auf Grundlage von Kantenplatzierungsfehlern zwischen der ersten simulierten Kontur und der ersten Zielkontur und zwischen der zweiten simulierten Kontur und der zweiten Zielkontur bestimmt.

Description

  • PRIORITÄT
  • Die vorliegende Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/585 914 mit dem Titel „Optical Proximity Correction and Photomasks“, eingereicht am 14. November 2017, die hier vollständig durch Bezugnahme aufgenommen wird.
  • HINTERGRUND
  • Die integrierte Halbleiterschaltungs- (IC) -Industrie hat ein rasches Wachstum erlebt. Im Verlauf der IC-Entwicklung hat sich die Funktionsdichte (d.h. die Anzahl von miteinander verbundenen Vorrichtungen je Chipfläche) im Allgemeinen erhöht, während sich die Geometriegröße (d.h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsverfahrens erzeugt werden kann) verringert hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile, indem er die Produktionseffizienz erhöht und die damit verbundenen Kosten senkt. Eine solche Verkleinerung wurde jedoch auch von einer höheren Komplexität bei Entwurf und Herstellung von Geräten begleitet, die diese ICs enthalten. Parallele Fortschritte in der Fertigung haben es ermöglicht, zunehmend komplexere Entwürfe mit Präzision und Zuverlässigkeit herzustellen.
  • Beispielsweise kompensieren einige Fortschritte optische Effekte und Verarbeitungsfehler, die nahe am Grenzbereich der Lithographie auftreten. In vielen Beispielen werden IC-Merkmale auf einem Halbleitersubstrat unter Verwendung eines Satzes photolithographischer Masken definiert und ausgebildet. Die Masken haben Strukturen, die von durchlässigen und/oder reflektierenden Bereichen gebildet werden. Während einer photolithographischen Belichtung tritt Strahlung, wie ultraviolettes Licht, durch die Maske oder wird von dieser reflektiert, bevor sie auf eine Photoresistbeschichtung auf dem Substrat auftrifft. Die Maske überträgt die Struktur auf den Photoresist, der dann selektiv entfernt wird, um die Struktur freizulegen. Das Substrat wird dann Verarbeitungsschritten unterzogen, die die Form des verbleibenden Photoresists nutzen, um Schaltungsmerkmale auf dem Substrat zu erzeugen. Wenn die Verarbeitungsschritte abgeschlossen sind, wird ein weiterer Photoresist abgeschieden und das Substrat wird mit der nächsten Maske belichtet. Auf diese Weise werden die Merkmale geschichtet, um die fertige Schaltung zu erzeugen.
  • Die auf dem Substrat ausgebildeten Strukturen können jedoch von den Strukturen der Maske abweichen. Zum Beispiel können optische Effekte wie beispielsweise Beugung, Fringing (bzw. Randeffekte) und Interferenz beeinflussen, wo Strahlung auf das Werkstück fällt. Ebenso können die Eigenschaften der Masken, des Lithographiesystems und/oder des Werkstücks bestimmen, welche Bereiche des Photoresists belichtet werden. Variabilität in den Verarbeitungsschritten wie Photoresistentwicklung, Ätzen, Abscheidung, Implantation usw. kann auch die Form der endgültigen Struktur beeinflussen. Wenn dies nicht berücksichtigt wird, können diese Effekte Abweichungen wie Eckenverrundung, Kantenfehler, Einschnürungen, Brückenbildung und unvollständige Merkmale verursachen.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Es wird betont, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Beschreibung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1 ist ein Blockdiagramm eines Lithographiesystems gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • Die 2A und 2B sind Flussdiagramme eines Verfahrens zum Definieren einer Maske zum Herstellen einer integrierten Schaltung gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 3 ist ein Diagramm eines Abschnitts eines Layouts zum Herstellen einer integrierten Schaltung, das einem Herstellungsverfahren unterzogen wird, gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 4 ist eine graphische Darstellung von Prozessbedingungen innerhalb eines Prozessfensters gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • Die 5A - 7C sind Diagramme von Simulationsumgebungen im Ablauf eines Verfahrens gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 8 ist ein Flussdiagramm eines Verfahrens zum Herstellen einer photolithographischen Maske gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • Die 9 und 10 sind Querschnittsansichten eines Abschnitts einer Maske, die gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung hergestellt wird.
    • 11 ist eine Draufsicht eines Abschnitts einer Maske, die gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung hergestellt wird.
    • 12 ist ein Blockdiagramm einer Lithographieumgebung gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen Ausführungsformen und/oder Konfigurationen über den angegebenen Umfang hinaus.
  • Darüber hinaus kann das Ausbilden eines Merkmals auf, verbunden mit und/oder gekoppelt mit einem anderen Merkmal in der vorliegenden folgenden Offenbarung Ausführungsformen umfassen, in denen die Merkmale in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale so ausgebildet werden können, dass sie zwischen den Merkmalen angeordnet sind, so dass die Merkmale nicht in direktem Kontakt stehen müssen. Darüber hinaus werden räumlich relative Begriffe der Beziehung eines Merkmals zu einem anderen Merkmal, beispielsweise „unten“, „oben“, „horizontal“, „vertikal“, „oberhalb“, „über“, „unterhalb“, „unter“, „herauf“, „herunter“, „oberer“, „unterer“ usw. sowie Ableitungen davon (z. B. „horizontal“, „nach unten“, „nach oben“ usw.) zur Vereinfachung der vorliegenden Offenbarung verwendet. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung einschließlich der Merkmale abdecken.
  • Bei schrumpfenden Merkmalgrößen wirken sich Unterschiede zwischen Maskenmerkmalen und den auf einem Werkstück ausgebildeten Merkmalen stärker auf die Leistung der Vorrichtung aus. In einem Beispiel einer einfachen Leitung können eine Vielzahl von Effekten dazu führen, dass die Leitungsenden abgerundet werden, anstatt eine scharfe Ecke zu bilden, und können dazu führen, dass eine Leitung mit unregelmäßiger Breite erzeugt wird. Diese Effekte können von der Beleuchtungsquelle, der Maske, dem Lithographiesystem, dem Herstellungsprozess (z. B. Entwickeln, Ätzen, Abscheiden usw.) und/oder anderen Quellen hervorgerufen werden. Natürlich sind dies nur einige Beispiele für Merkmalsabweichungen, die bei der Ausbildung von integrierten Schaltungen auftreten, und ihrer Ursachen. Andere Dickenabweichungen, Platzierungsabweichungen und Unregelmäßigkeiten können alleine oder in Kombination auftreten. Die Auswirkungen dieser Abweichungen können sich verschärfen, wenn die Größe eines Merkmals kleiner wird, da die Abweichungen gleich bleiben oder größer werden und somit die Unvollkommenheiten relativ zu dem Merkmal wachsen.
  • Um dies zu kompensieren, empfangen viele Beispiele der vorliegenden Offenbarung ein Layout, das Formen spezifiziert, die auf einer Maske und somit auf einem Werkstück ausgebildet werden sollen. Die Layoutformen werden unter Verwendung einer Vielzahl von Korrekturprozessen modifiziert, so dass, wenn eine Maske auf Grundlage des Layouts ausgebildet wird, die Merkmale auf dem Werkstück wie vorgesehen gedruckt werden. In einigen solchen Beispielen umfasst dies das Simulieren eines photolithographischen Prozesses unter Verwendung der Formen in dem Layout und potentieller Modifikationen des Layouts und/oder des Lithographiesystems, um die resultierenden Merkmale auf dem Werkstück zu ermitteln. Die simulierten Ergebnisse können mit einem lithographischen Ziel verglichen werden, und jede potentielle Modifikation kann dahingehend bewertet werden, wie nahe das resultierende Merkmal an dem Ziel liegt. Modifikationen können auf Grundlage ihrer Ergebnisse ausgewählt und auf das Layout angewendet werden.
  • Da die Prozessbedingungen über ein Werkstück hinweg und zwischen Werkstücken unvermeidlich variieren können, kann die Simulation bei mehr als einem Satz von Prozessbedingungen durchgeführt werden. In einigen solchen Beispielen wird dies durch Auswahl bestimmter lithografischer Ziele für bestimmte Sätze von Prozessbedingungen erreicht. Insbesondere können einige Ziele auf Grundlage von Simulationsergebnissen bei anderen Prozessbedingungen bestimmt werden. Die simulierten Ziele können so konfiguriert werden, dass sie die Modifikationen hinsichtlich Gleichmäßigkeit über die Prozessbedingungen hinweg anstatt Übereinstimmung mit einem idealen Merkmal optimieren. Auf diese und andere Weise verringern einige Ausführungsformen die Variabilität über die Bedingungen hinweg, verbessern den Kontrast, verbessern die Merkmalstreue und bieten weitere Vorteile für den lithographischen Prozess. Es versteht sich jedoch, dass für eine bestimmte Ausführungsform kein besonderer Vorteil erforderlich ist.
  • Wie nachstehend beschrieben, sieht die vorliegende Offenbarung eine Technik zum Modifizieren einer Maskenstruktur vor, um lithographische Effekte und andere Herstellungsabweichungen zu kompensieren, um die Gleichmäßigkeit der endgültigen Merkmale zu erhöhen. Beispiele eines Systems zum Belichten eines Werkstücks gemäß der Maske und Beispiele von Effekten, die die endgültigen Merkmale beeinflussen können, werden unter Bezugnahme auf 1 beschrieben. Somit ist 1 ein Blockdiagramm eines Lithographiesystems 100 gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Das Lithographiesystem 100, das auch als Scanner bezeichnet werden kann, ist betreibbar, um einen Lithographie-Belichtungsprozess unter Verwendung einer charakteristischen Strahlungsquelle und eines Belichtungsmodus durchzuführen. In den gezeigten Ausführungsformen ist das Lithographiesystem 100 ein Extrem-Ultraviolett- (EUV) -Lithographiesystem, das zum Belichten eines Werkstücks unter Verwendung von EUV-Strahlung mit einer Wellenlänge im Bereich zwischen etwa 1 nm und etwa 100 nm ausgelegt ist. In einigen beispielhaften Ausführungsformen umfasst das Lithographiesystem 100 eine Strahlungsquelle 102, die EUV-Strahlung mit einer Wellenlänge erzeugt, die bei etwa 13,5 nm zentriert ist. In einer solchen Ausführungsform verwendet eine EUV-Strahlungsquelle 102 ein lasererzeugtes Plasma (LPP) zum Erzeugen der EUV-Strahlung, indem ein Medium wie Zinntröpfchen unter Verwendung eines Lasers in ein Hochtemperaturplasma erwärmt wird.
  • Das Lithographiesystem 100 kann auch eine Beleuchtungseinrichtung (bzw. Einen Illuminator) 104 umfassen, die die von der Strahlungsquelle 102 erzeugte Strahlung fokussiert und formt. Die Beleuchtungseinrichtung 104 kann optische Brechungskomponenten umfassen, beispielsweise monolithische Linsen und/oder Arraylinsen (z. B. Zonenplatten), und kann reflektierende optische Komponenten umfassen, beispielsweise monolithische Spiegel und/oder Spiegelarrays. Die Anzahl der in 1 gezeigten optischen Komponenten wurde aus Gründen der Klarheit verringert und in tatsächlichen Ausführungsformen umfasst die Beleuchtungseinrichtung 104 dutzende oder sogar hunderte von Linsen und/oder Spiegeln. Die optischen Komponenten sind so angeordnet und ausgerichtet, dass sie von der Strahlungsquelle 102 emittierte Strahlung auf eine in einem Maskentisch 108 gehaltene Maske 106 projizieren. Eine beispielhafte Maske 106 wird in nachfolgenden Figuren detaillierter beschrieben. Die optischen Komponenten der Beleuchtungseinrichtung 104 können die Strahlung entlang des Lichtwegs auch formen, um eine bestimmte Beleuchtungsstruktur auf der Maske 106 zu erzeugen.
  • Nach Durchlaufen der Maske 106 oder Reflektieren von ihr wird die Strahlung durch ein Projektionsoptikmodul 110 geleitet, das auch als Projektionsoptikbox (POB) bezeichnet wird. Ähnlich wie die Beleuchtungseinrichtung 104 kann das Projektionsoptikmodul 110 brechende optische Komponenten wie beispielsweise monolithische Linsen und/oder Arraylinsen (z. B. Zonenplatten) umfassen und kann reflektierende optische Komponenten wie beispielsweise monolithische Spiegel und/oder Spiegelarrays umfassen. Die optischen Komponenten des Projektionsoptikmoduls 110 sind so angeordnet und ausgerichtet, dass sie durch die Maske 106 durchgelassene oder von dieser reflektierte Strahlung lenken und auf ein Werkstück 112 projizieren, beispielsweise das gezeigte Halbleitersubstrat oder ein beliebiges anderes geeignetes Werkstück, das in einem Substrattisch 114 gehalten wird. Zusätzlich zum Lenken der Strahlung können die optischen Komponenten des Projektionsoptikmoduls 110 auch die Strahlung entlang des Lichtwegs vergrößern, verkleinern, fokussieren und/oder auf andere Weise formen.
  • Vom Projektionsoptikmodul 110 auf das Werkstück 112 projizierte Strahlung verursacht Änderungen in einer lichtempfindlichen Komponente des Targets. In einem Beispiel umfasst das Werkstück 112 ein Halbleitersubstrat mit einem Photoresist 116. Teile des Photoresists 116, die der Strahlung ausgesetzt werden, erfahren einen chemischen Übergang, wodurch sie entweder mehr oder weniger empfindlich für einen Entwicklungsprozess werden. In einer beispielhaften Ausführungsform wird der Photoresist 116 nach der Belichtung einem Nachbelichtungs-Backen, Entwickeln, Spülen und Trocknen unterzogen, um den Übergang abzuschließen. Nachfolgende Verarbeitungsschritte, die an dem Werkstück 112 durchgeführt werden, können die Struktur des verbleibenden Photoresists 116 verwenden, um Abschnitte des Werkstücks 112 selektiv zu bearbeiten.
  • Wie oben erwähnt, kann eine Anzahl von Effekten bewirken, dass sich die in dem Photoresist 116 ausgebildete Struktur von der beabsichtigten Struktur unterscheidet. Dazu können optische Effekte wie Beugung, Fringing und Interferenz gehören. Unterschiede in der Struktur können auch durch Aspekte des Systems 100 verursacht werden. Beispielsweise kann die von dem System 100 bereitgestellte Beleuchtung über ein Werkstück 112 hinweg oder zwischen Werkstücken 112 variieren. Mit anderen Worten können selbst bei fehlerfreien Optiken und Masken die Komplexitäten der Strahlengänge und andere optische Effekte innerhalb des Systems 100 dazu führen, dass die Dosis (d. h. die Belichtungsintensität) über die Oberfläche eines gegebenen Werkstücks 112 hinweg variiert, und dies kann bewirken, dass die Dosis von Werkstück zu Werkstück variiert. In ähnlicher Weise kann der Fokus der projizierten Merkmale über ein Werkstück 112 hinweg und zwischen Werkstücken aufgrund des Strahlengangs, der Qualität der Optik, Abweichungen im Werkstück 112, Unregelmäßigkeiten der Oberfläche des Photoresists 116 und/oder anderer Faktoren variieren. Dementsprechend sind in den folgenden Beispielen die Merkmale der Maske 106 so konfiguriert, dass sie optische Effekte und Prozessbedingungen wie Dosisänderungen, Fokusänderungen, Herstellungsmängel einschließlich Maskenfehlern und/oder andere Bedingungen, die die in dem Photoresist 116 ausgebildeten Merkmale beeinflussen, kompensieren.
  • Eine Technik zum Herstellen einer photolithographischen Maske wird unter Bezugnahme auf die 2A, 2B und 3 - 7C beschrieben. In dieser Hinsicht sind die 2A und 2B Flussdiagramme eines Verfahrens 200 zum Definieren einer Maske zum Herstellen einer integrierten Schaltung gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Zusätzliche Schritte können vor, während und nach dem Verfahren 200 vorgesehen sein und einige der beschriebenen Schritte können für weitere Ausführungsformen des Verfahrens 200 ersetzt oder weggelassen werden. 3 ist ein Diagramm eines Abschnitts eines Layouts 300 zum Herstellen einer integrierten Schaltung, das dem Verfahren 200 unterzogen wird, gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. 4 ist eine grafische Darstellung 400 von Prozessbedingungen innerhalb eines Prozessfensters gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Die 5A - 7C sind Diagramme von Simulationsumgebungen im Ablauf des Verfahrens 200 gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Insbesondere sind die 5A, 6A und 7A Diagramme einer ersten Simulationsumgebung 500, die 5B, 6B und 7B sind Diagramme einer zweiten Simulationsumgebung 520, und die 5C, 6C und 7C sind Diagramme einer dritten Simulationsumgebung 540.
  • Nimmt man nun auf Block 202 von 2A und auf 3 Bezug, wird das Layout 300 zur Herstellung der Maske 106 empfangen. In verschiedenen Beispielen hat das Layout 300 die Form einer Datei, die auf einem nichtflüchtigen computerlesbaren Medium gespeichert ist, und ist in einem Designstandard wie GDSII, OASIS und/oder MEBES®, einer eingetragenen Marke von Applied Materials, wiedergegeben. Das Layout 300 kann eine digitale Darstellung eines integrierten Schaltkreises sein und die Formen 302 des Layouts 300 können räumlichen Merkmalen von Masken und infolgedessen Merkmalen eines integrierten Schaltkreises, der durch die Masken ausgebildet wird, entsprechen und diese definieren. Da ein Layout 300 Millionen von Formen 302 oder mehr umfassen kann, wurde das Layout 300 von 3 vereinfacht, um der Klarheit halber eine Teilmenge der Formen 302 zu zeigen. In verschiedenen Ausführungsformen entsprechen die Formen 302 des Layouts 300 Merkmalen eines Werkstücks 112 einer integrierten Schaltung (z. B. dotierten Wannen, dotierten aktiven Bereichen, Vorrichtungsgates, Kontakten, Verbindungsleitungen, Durchkontaktierungen usw.) und in einigen Beispielen entsprechen die Formen 302, wenn das Layout 300 empfangen wird, idealisierten Versionen der Merkmale der integrierten Schaltung, die auf einem Werkstück 112 auszubilden sind.
  • Um verschiedene optische und Fertigungseffekte zu kompensieren, kann das Verfahren 200 die vorhandenen Formen 302 ändern und dem Layout 300 zusätzliche Formen 302 hinzufügen, so dass, wenn eine Maske 106 auf der Grundlage des Layouts 300 ausgebildet wird, die resultierenden Merkmale der integrierten Schaltung eng mit den idealisierten Versionen übereinstimmen. Der Prozess des Modifizierens des Layouts 300 zum Kompensieren dieser Effekte kann als optische Nahbereichskorrektur (OPC) bezeichnet werden. OPC umfasst modellbasierte Kompensationen, bei denen Mustererkennung verwendet wird, um eine korrigierte Form zu bestimmen, und simulationsbasierte Kompensationen, bei denen ein Lithographieprozess simuliert wird und die Formen auf Grundlage der Ergebnisse der Simulation modifiziert werden. In einem Beispiel der letzteren kann eine Anzahl von Simulationen an den Formen 302 des Layouts 300 mit oder ohne potentielle OPC-Modifikationen durchgeführt werden, um lithographische Prozesse zu simulieren. Die Simulationsergebnisse können mit einem Satz von Zielen verglichen werden und die Differenzen (z. B. Kantenplatzierungsfehler (Edge Placement Error, EPE)) können verwendet werden, um zu bestimmen, welche potentielle Modifikation in das Layout 300 aufgenommen werden soll. Um die Konsistenz über ein Werkstück 112 hinweg und zwischen Werkstücken zu verbessern, kann die Simulation unter optimalen Prozessbedingungen sowie unter einer Mehrzahl von nicht optimalen Bedingungen innerhalb eines Prozessfensters durchgeführt werden.
  • Verschiedene Prozessbedingungen sind im Zusammenhang mit 4 gezeigt. Hierin zeigt 4 ein 3-Achsen-Diagramm 400 von Prozessbedingungen, wobei jede Achse Werte (Bedingungen) eines Prozessparameters zeigt. In einem Beispiel stellt eine erste Achse 402 einen Maskenfehler dar (z. B. eine Abweichung zwischen dem Ort, an dem ein Maskenmerkmal beabsichtigt ist und an dem das Maskenmerkmal ausgebildet ist, eine Abweichung zwischen der beabsichtigten Reflektivität/Transmission/Phasenverschiebung des Maskenmerkmals und der tatsächlichen Reflektivität/Transmission/Phasenverschiebung usw.). In dem Beispiel stellt eine zweite Achse 404 eine Fokusabweichung (d. h. einen Defokus) dar, bei der die Strahlung auf den Photoresist 116 trifft. In dem Beispiel stellt eine dritte Achse 406 eine Dosisabweichung dar, bei der die Strahlung auf den Photoresist 116 trifft. Natürlich sind diese Prozessparameter nur beispielhaft und andere Beispiele verwenden eine beliebige Anzahl von Achsen und berücksichtigen auch eine beliebige Anzahl von Prozessparametern. Beispielsweise stellt eine Achse in verschiedenen Ausführungsformen die Empfindlichkeit des Filmstapels, die Aberrationsempfindlichkeit, die Entwicklervariabilität, die Ätzvariabilität und/oder andere geeignete Parameter dar. In ähnlicher Weise kann ein Parameter wie etwa der Defokus gemäß der Quelle des Defokus in mehrere Achsen unterteilt werden.
  • Die Simulation kann bei einer Anzahl von Prozessbedingungen innerhalb eines Prozessfensters 408, einem Satz von erwarteten Werten für die Prozessparameter, durchgeführt werden. Beispielsweise stellt die Markierung 410A eine lithographische Simulation unter Verwendung eines optimalen Wertes für Maskenfehler, Defokus und Dosis dar. Die Markierung 410B stellt eine lithographische Simulation unter Verwendung eines optimalen Wertes für den Maskenfehler mit einem Defokus- und Dosiswert dar, die vom optimalen Wert abweichen. In ähnlicher Weise stellt die Markierung 410C eine lithographische Simulation unter Verwendung eines optimalen Werts für den Maskenfehler mit einem Defokus- und Dosiswert dar, die vom optimalen Wert abweichen. Andere beispielhafte Prozessbedingungen für die Simulation werden durch Markierungen 410 gezeigt und es wird angemerkt, dass die Simulation an Grenzen des Prozessfensters 408 (z. B. Kanten und/oder Ecken) sowie bei Nicht-Grenzbedingungen durchgeführt werden kann. Die Simulationsergebnisse bei diesen Prozessbedingungen können mit Zielen verglichen und zur Modifikation des Layouts verwendet werden.
  • Bezugnehmend auf Block 204 von 2A und auf die 5A - 5C wird ein Satz von Zielkonturen 502 auf Grundlage der Formen 302 des Layouts bestimmt. Die Zielkonturen 502 können belichtete oder unbelichtete Bereiche eines Photoresists 116 darstellen. Wie oben beschrieben, kann ein Photoresist 116 ein lichtempfindliches Material umfassen, das bewirkt, dass der Photoresist 116 eine Eigenschaftsänderung erfährt, wenn er einer Lichtdosis ausgesetzt wird, die eine bestimmte Schwelle überschreitet. Die Eigenschaftsänderung kann den Photoresist 116 mehr oder weniger empfindlich gegenüber einem Entwickler machen. Auf diese Weise kann die Eigenschaftsänderung verwendet werden, um belichtete oder unbelichtete Bereiche der Photoresistschicht selektiv zu entfernen. Die Zielkonturen 502 können die Grenze zwischen den Bereichen, die die Schwellendosis erreichen oder überschreiten, und den Bereichen darstellen, die dies nicht tun. Zusätzlich oder alternativ können die Zielkonturen 502 Grenzen von Merkmalen darstellen, die auf einem Werkstück 112 unter Verwendung einer strukturierten Photomaske ausgebildet werden und die Auswirkungen der Verarbeitung auf die Merkmalgrenzen berücksichtigen. Da die Simulation bei mehr als einem Satz von Prozessbedingungen durchgeführt werden kann, kann in einigen Beispielen mehr als ein Satz von Zielkonturen 502 bestimmt werden, und jede Zielkontur kann in einer Simulationsumgebung (z. B. der Simulationsumgebung 500, der Simulationsumgebung 520, der Simulationsumgebung 540 usw.) entsprechend einem bestimmten Satz von Prozessbedingungen instanziiert werden.
  • Dementsprechend kann unter Bezugnahme auf Block 206 von 2A und 5A das Bestimmen des Satzes von Zielkonturen 502 das Bestimmen eines ersten Satzes von Zielkonturen 502 für eine Simulationsumgebung 500 umfassen, die optimale Prozessbedingungen simuliert. Der erste Satz von Zielkonturen 502 kann den Formen 302 zu dem Zeitpunkt entsprechen, zu dem das Layout 300 empfangen wurde. Um jedoch eine aggressive Suche nach einem idealen Ergebnis zu vermeiden, kann der erste Satz von Zielkonturen 502 einige realitätsnahe Effekte umfassen, von denen festgestellt wurde, dass sie ertragssicher sind, wie etwa ein gewisses Maß an Eckenverrundung und/oder Dickenabweichung.
  • Bezugnehmend auf Block 208 von 2A und die 5B und 5C kann das Bestimmen des Satzes von Zielkonturen 502 das Bestimmen von Sätzen von Zielkonturen 502 für Simulationsumgebungen (z. B. die Simulationsumgebung 520, die Simulationsumgebung 540 usw.) umfassen, die Prozessbedingungen simulieren, die vom Optimum abweichen. Beispielsweise kann ein zweiter Satz von Zielkonturen 502 in einer Simulationsumgebung 520 verwendet werden, die einen ersten Defokusbetrag (Δ Defokus1) und einen ersten Dosisabweichungsbetrag (Δ Dosis1) modelliert, und ein dritter Satz von Zielkonturen 502 kann in einer Simulationsumgebung 540 verwendet werden, die einen zweiten Defokusbetrag (Δ Defokus2) und einen zweiten Dosisabweichungsbetrag (Δ Dosis2) modelliert. Ähnlich dem ersten Satz von Zielkonturen 502 können diese Zielkonturen 502 zum Teil auf den Formen 302 in dem Layout 300 basieren, als es empfangen wurde, einschließlich einiger realitätsnaher Effekte, wie etwa ein Maß an Eckenverrundung und Dickenabweichung.
  • Ferner wurde festgestellt, dass durch sorgfältige Auswahl der Zielkonturen eine größere Gleichmäßigkeit über das Prozessfenster hinweg erreicht werden kann. Dementsprechend basieren in einigen Beispielen die Zielkonturen 502 in der zweiten und dritten Simulationsumgebung auf dem Simulieren von Formen in dem Layout 300 (wie sie gegenwärtig vorhanden sind einschließlich aller zuvor bestimmten Modifikationen) bei verschiedenen Prozessbedingungen. In einem Beispiel, in dem die zweite Simulationsumgebung 520 nicht optimale Bedingungen in mehr als einem Prozessparameter modelliert (z. B. Δ Defokus1 und Δ Dosis1), werden die Zielkonturen 502 in der zweiten Simulationsumgebung 520 aus einer Simulation der Formen 302 bestimmt, wie sie gegenwärtig in dem Layout 300 vorhanden sind, bei der mindestens eine der nicht optimalen Bedingungen auf einen optimalen Wert eingestellt ist (z. B. einer Simulation bei Δ Defokus1 mit optimaler Dosis oder mit optimalem Defokus bei Δ Dosis1). Wie weiter unten beschrieben wird, hat dies die Wirkung, das Layout 300 zu optimieren, um die Abweichungen in dem nicht optimalen Parameter zu verringern. In diesem Beispiel modelliert die dritte Simulationsumgebung 540 nicht optimale Bedingungen von Δ Defokus2 und Δ Dosis2 und der dritte Satz von Zielkonturen 502 wird aus einer Simulation der Formen 302 bestimmt, wie sie gegenwärtig in dem Layout 300 vorhanden sind, bei der mindestens eine der nicht optimalen Bedingungen auf einen optimalen Wert eingestellt ist (z. B. bei Δ Defokus2 mit optimaler Dosis oder mit optimalem Defokus bei Δ Dosis2).
  • In einigen Beispielen werden die Zielkonturen bestimmt, indem über mehr als einen Satz von Prozessbedingungen, die bewertet werden, gemittelt wird. In einigen solchen Beispielen sollten der zweite Satz von Zielkonturen 502 und der dritte Satz von Zielkonturen 502 in Simulationen verwendet werden, in denen einige Prozessbedingungen geteilt sind. In einem solchen Beispiel ist der Maskenfehler gleich (der optimale Maskenfehler), die Dosis ist gleich (Δ Dosis1 = Δ Dosis2) und die Defokusbeträge weichen ab. Dementsprechend können eine oder mehrere der Prozessbedingungen, die zwischen den Simulationen abweichen, gemittelt werden, um die Zielkonturen zu bestimmen. Mit anderen Worten können der zweite Satz von Zielkonturen 502 und der dritte Satz von Zielkonturen 502 aus einer Simulation der Formen 302, wie sie derzeit in dem Layout 300 vorhanden sind, unter den folgenden Bedingungen bestimmt werden: dem optimalen Maskenfehler, Δ Dosis1 (die im Wesentlichen gleich Δ Dosis2 ist) und einem Defokus, der der Durchschnitt von Δ Defokus1 und Δ Defokus2 ist. Dies hat die Wirkung, das Layout 300 zu optimieren, um die Schwankungen des gemittelten Parameters zu verringern.
  • Bezugnehmend auf Block 210 von 2A und die 6A - 6C werden eine oder mehrere Simulationen durchgeführt, um einen lithographischen Prozess der Formen 302, wie sie derzeit im Layout 300 vorhanden sind, bei den verschiedenen Prozessbedingungen zu simulieren. Im Beispiel von 6A kann dies eine lithographische Simulation des Layouts 300 bei einem optimalen Betrag an Maskenfehler, Fokus und Dosis umfassen. Dies erzeugt einen ersten Satz simulierter Konturen 602, die eine Grenze zwischen belichteten und unbelichteten Bereichen eines Photoresists 116 und insbesondere die Grenze derjenigen Photoresistbereiche 116, die die Schwellendosis erreichen oder überschreiten, und derjenigen Bereiche darstellen, die dies nicht tun. In einigen Beispielen stellt der erste Satz simulierter Konturen 602 Grenzen von auf dem Werkstück 112 ausgebildeten Merkmalen dar. Im Beispiel von 6B kann dies eine lithographische Simulation des Layouts 300 bei einem optimalen Betrag des Maskenfehlers und Δ Dosis1 und Δ Defokus1 umfassen. Dies erzeugt einen zweiten Satz simulierter Konturen 602, die Grenzen zwischen belichteten und unbelichteten Bereichen eines Photoresists 116 und/oder Grenzen von auf dem Werkstück 112 ausgebildeten Merkmalen darstellen können. Im Beispiel von 6C kann dies eine lithographische Simulation des Layouts 300 bei einem optimalen Betrag eines Maskenfehlers und Δ Dosis2 und Δ Defokus2 umfassen. Dies erzeugt einen dritten Satz simulierter Konturen 602, die Grenzen zwischen belichteten und unbelichteten Bereichen eines Photoresists 116 und/oder Grenzen von auf dem Werkstück 112 ausgebildeten Merkmalen darstellen können. Natürlich kann die Simulation bei einer beliebigen Anzahl von Prozessbedingungen durchgeführt werden.
  • Bezugnehmend auf Block 212 von 2A und die 7A - 7C werden die simulierten Konturen 602 relativ zu den Zielkonturen 502 bewertet. In einigen Beispielen umfasst dies das Bestimmen von Kantenplatzierungsfehlern 702 auf Grundlage der Differenz zwischen einem Rand einer Zielkontur 502 und einem Rand einer simulierten Kontur 602. Für jede Form 302 in dem Layout kann eine beliebige Anzahl von Kantenplatzierungsfehlern 702 bestimmt werden und jeder Kantenplatzierungsfehler 702 kann zu einem bestimmten Segment der jeweiligen Form 302 gehören. Ebenso kann jeder Satz von Zielkonturen und simulierter Konturen jeweilige Kantenplatzierungsfehler 702 aufweisen und die jeweiligen Kantenplatzierungsfehler 702 können über Simulationen und Prozessbedingungen hinweg verglichen werden.
  • Das Bewerten der simulierten Konturen 602 in Block 212 kann auch andere Bewertungen umfassen, wie beispielsweise das Berechnen einer logarithmischen Kantensteilheit (Image Log Slope, ILS) und/oder einer normierten logarithmischen Kantensteilheit (NILS) für die Konturen. ILS und NILS messen, wie abrupt Licht die Intensität ändert. Aus diesem Grund können ILS und NILS als Kontrastprüfung verwendet werden. Scharf definierte Übergänge von dunkel nach hell sorgen für eine präzise Belichtung und klar definierte Merkmale. Ein schlecht definierter Übergang kann verhindern, dass ein Maskenmerkmal vollständig gedruckt wird. Dementsprechend können Kontrastprüfungen wie beispielsweise ILS- und NILS-basierte Prüfungen verwendet werden, um die simulierten Konturen 602 in Block 212 auszuwerten.
  • Bezugnehmend auf Block 214 von 2A wird bestimmt, ob das Layout 300 zur Maskenherstellung geeignet ist. Diese Bestimmung kann teilweise davon abhängen, ob die Kantenplatzierungsfehler 702 klein genug sind (z. B. kleiner als ein Schwellenwert). Die Bestimmung kann auch berücksichtigen, ob das Layout 300 verschiedene Entwurfsregeln erfüllt, wie etwa eine Maskenregelprüfung (MRC), eine Entwurfsregelprüfung (DRC), eine elektrische Regelprüfung (ERC) und/oder andere geeignete Entwurfsregeln. In einigen solchen Beispielen umfasst die Bestimmung in Block 214 eine Kontrastprüfung, beispielsweise eine ILS- und/oder NILS-basierte Prüfung, um zu bestimmen, ob ein Layout 300 zur Verwendung bei der Maskenherstellung geeignet ist.
  • In einigen Beispielen berücksichtigt die Bestimmung von Block 214 eine Maskenleistungsmetrik, beispielsweise einen Maskenfehler-Verbesserungsfaktor (Mask Error Enhancement Factor, MEEF). MEEF ist ein Maß dafür, wie sich Größenänderungen eines Maskenelements auf das entsprechende Werkstückmerkmal auswirken. MEEF berücksichtigt die Vergrößerung im optischen Weg und nichtlineare optische Beziehungen zwischen der Maske 106 und dem Werkstück 112. Dementsprechend können MEEF und/oder andere Maskenleistungsmetriken verwendet werden, um zu bestimmen, ob ein Layout geeignet ist. Wenn das Layout 300 in Block 214 als geeignet bestimmt wird, kann das Layout 300 für die Maskenherstellung in Block 216 bereitgestellt werden.
  • Wenn bestimmt wird, dass die Maske noch nicht für die Herstellung geeignet ist (z. B. die Kantenplatzierungsfehler 702 nicht kleiner als der Schwellwert sind), wird in Block 218 von 2B ein Satz potentieller Modifikationen an dem Layout 300 und/oder dem Lithographiesystem auf Grundlage von Metriken wie den Kantenplatzierungsfehlern 702 bewertet. In einigen Beispielen umfassen Modifikationen das Vergrößern oder Verkleinern von Abschnitten einer Form 302, insbesondere an Formecken, um die Kantenplatzierungsfehler 702 zu verringern. Dies kann die Wirkung haben, an Ecken einer Form 302 sogenannte Hammerköpfe und/oder Serifen zu erzeugen. Da außerdem eng beabstandete Maskenmerkmale konstruktiv interferieren können, um eine fokussiertere Strahlungsdosis auf dem Werkstück zu erzeugen, umfasst ein Satz von Modifikationen in einigen Beispielen das Hinzufügen von nicht druckenden Hilfsmerkmalen oder Streubalken zu dem Layout 300, um die Kantenplatzierungsfehler 702 der benachbarten Druckformen 302 zu verringern. Modifikationen können auch Änderungen am Aufbau des Lithographiesystems 100 umfassen, wie etwa eine Änderung in der Beleuchtungseinrichtung 104, der Strahlungsquelle 102, dem Projektionsoptikmodul 110 usw.
  • Bezugnehmend auf Block 220 von 2B wird eine lithographische Simulation für jede potentielle Modifikation durchgeführt. Dies kann im Wesentlichen wie in Block 210 von 2A beschrieben durchgeführt werden. Die Simulation von Block 220 kann eine lithographische Belichtung und Herstellung eines Werkstücks unter Verwendung des Layouts, das die potentielle Modifikation umfasst, bei einer beliebigen Anzahl verschiedener Prozessbedingungen simulieren. In einem Beispiel umfasst dies eine erste Simulation bei einem optimalen Betrag von Maskenfehler, Fokus und Dosis, um einen ersten Satz simulierter Konturen 602 zu erzeugen, eine zweite Simulation bei einem optimalen Betrag des Maskenfehlers und Δ Dosis1 und Δ Defokus1 zum Erzeugen eines zweiten Satzes simulierter Konturen 602 und eine dritte Simulation bei einem optimalen Betrag des Maskenfehlers und Δ Dosis2 und Δ Defokus2 zum Erzeugen eines dritten Satzes simulierter Konturen 602. Natürlich kann die Simulation bei einer beliebigen Anzahl unterschiedlicher Prozessbedingungen durchgeführt werden.
  • Bezugnehmend auf Block 222 von 2B werden die simulierten Konturen 602 jeder potentiellen Modifikation relativ zu den Zielkonturen 502 bewertet. Dies kann im Wesentlichen wie in Block 212 von 2A beschrieben durchgeführt werden und die Zielkonturen 502 können dieselben Konturen sein, die oben beschrieben wurden. In einigen Beispielen umfasst die Bewertung das Bestimmen von Kantenplatzierungsfehlern 702 auf Grundlage der Differenz zwischen einer Kante einer Zielkontur 502 und einer Kante einer simulierten Kontur 602. Für jede Form 302 in dem Layout kann eine beliebige Anzahl von Kantenplatzierungsfehlern 702 bestimmt werden und jeder Kantenplatzierungsfehler 702 kann zu einem bestimmten Segment der jeweiligen Form 302 gehören. Ebenso kann jeder Satz von Zielkonturen und simulierter Konturen jeweilige Kantenplatzierungsfehler 702 aufweisen und die jeweiligen Kantenplatzierungsfehler 702 können über Simulationen und Prozessbedingungen hinweg verglichen werden. Das Bewerten der simulierten Konturen 602 in Block 222 kann auch andere Bewertungen einschließen, beispielsweise Kontrastprüfungen wie ILS- und NILS-basierte Prüfungen und/oder Maskenprüfungen wie MEEF.
  • Die Bewertung kann bestimmen, welche der potentiellen Modifikationen die Gesamtqualität der resultierenden Maske durch Verringerung der Kantenplatzierungsfehler 702, Verbesserung des Kontrasts und/oder Verbesserung anderer Maskenmetriken verbessern. Es wird angemerkt, dass Modifikationen des Layouts 300 zur Verbesserung der Maskenqualität bei einem Satz von Prozessbedingungen die Maskenqualität bei einem anderen Satz von Prozessbedingungen nachteilig beeinflussen können. Dementsprechend werden in einigen Beispielen die Modifikationen in Block 222 unter Verwendung einer multivariaten Lösungstechnik wie Kostenminimierung bewertet. In einigen solchen Beispielen ist eine Kostenfunktion definiert, die die Kantenplatzierungsfehler 702 und/oder andere Metriken bei den verschiedenen Prozessbedingungen berücksichtigt, und eine iterative Kostenminimierungstechnik wird verwendet, um Modifikationen zu bestimmen, die die Kosten minimieren.
  • In einigen solchen Beispielen ist die Kostenfunktion als Summe von Quadraten strukturiert: C o s t = E P E 2
    Figure DE102018125109A1_0001
    wobei Cost für die Endkosten einer bestimmten Modifikation des Layouts 300 steht und EPE für einen Kantenplatzierungsfehler bei dem bestimmten Satz von Prozessbedingungen steht. In weiteren Beispielen werden die Kantenplatzierungsfehler individuell gewichtet und zusätzliche Faktoren werden mit einer Kostenfunktion berücksichtigt, wie beispielsweise: C o s t = ω | E P E | n + P e n a l t i e s
    Figure DE102018125109A1_0002
    wobei Cost für die Endkosten des Satzes von Modifikationen am Layout 300 steht, EPE für einen Kantenplatzierungsfehler bei dem bestimmten Satz von Prozessbedingungen steht, ω für einen Gewichtungsfaktor für den bestimmten Kantenplatzierungsfehler steht, n für einen polynomialen Gewichtungswert steht und Penalties für numerische Aufschläge steht, die mit dem Satz von Modifikationen verbunden sind. Die Penalties-Terme können verwendet werden, um Modifikationen negativ zu gewichten, die möglicherweise gegen eine Entwurfsregel verstoßen (z. B. MRC, DRC, ERC usw.); die möglicherweise einen Leistungsindex nicht erfüllen (z. B. ILS, NILS, Fokustiefe (DoF) usw.); die möglicherweise eine Maskenregel nicht erfüllen (z. B. MEEF); die Maskenherstellung, Lithographie und/oder Herstellung beeinträchtigen; und/oder andere widrige Bedingungen herstellen.
  • Die potentiellen Modifikationen des Layouts 300 (z. B. Verschieben einer Grenze einer Form 302, Hinzufügen/Verschieben/Entfernen eines nichtdruckenden Merkmals usw.) und/oder des Lithographiesystems 100 werden unter Verwendung der Kostenfunktion bewertet und eine Modifikation, die zu den niedrigsten Kosten führt, kann in dem Layout 300 in einem nachfolgenden Block implementiert werden. Wenn die Modifikation mit den niedrigsten Kosten einen maximalen Kostenschwellenwert überschreitet, kann eine Warnung ausgelöst werden.
  • Es wurde festgestellt, dass für manche Formen 302 und Layouts 300 Lösungen, die die simulierten Konturen 602 für alle Prozessbedingungen mit einer einzigen Zielkontur 502 (z. B. den idealen Merkmalformen mit oder ohne Eckenverrundung) vergleichen, die Korrektur von Kantenplatzierungsfehlern bei äußersten Randbedingungen häufig überbewerten. Dies hat den Effekt, dass die Variabilität in den ausgebildeten Merkmalen zunimmt, wenn die Prozessbedingungen vom Optimum abweichen. Abhilfe können hier simulierte Zielkonturen schaffen, die auf die besonderen Prozessbedingungen abgestimmt sind. Dementsprechend verwenden viele der vorliegenden Ausführungsformen unterschiedliche Zielkonturen für die unterschiedlichen Prozessbedingungen, und dadurch kann die Lösungstechnik die Abweichungen der auf dem Werkstück 112 ausgebildeten Merkmale über die Prozessbedingungen hinweg minimieren. Durch Verwenden von Simulationsergebnissen zur Erzeugung der Zielkonturen für die nicht optimalen Prozessbedingungen kann sich die Lösungstechnik insbesondere auf die Minimierung der Variabilität und die Verbesserung des Kontrasts konzentrieren, anstatt aggressiv zu versuchen, die Ergebnisse bei nicht optimalen Prozessbedingungen dem Ideal anzunähern. Dementsprechend ist ersichtlich, dass die vorliegende Technik Verbesserungen bei der Maskenherstellung und bei der Funktion (z. B. Gleichmäßigkeit, Reproduzierbarkeit usw.) des Lithographiesystems 100 bereitstellt, in dem die Maske verwendet wird.
  • Unter Bezugnahme auf Block 224 von 2B wird der Satz von Modifikationen mit den niedrigsten in Block 222 ermittelten Kosten in dem Layout 300 und/oder System 100 implementiert und der Prozess kehrt zu Block 204 zurück. Dies kann fortgesetzt werden, bis das Verfahren 200 in Block 214 bestimmt, dass eine entsprechende Maske, die unter Verwendung des Layouts 300 hergestellt wird, für das lithografische Belichten des Werkstücks 112 geeignet ist.
  • Auf diese Weise stellt das Verfahren 200 ein Layout 300 zum Herstellen einer photolithographischen Maske bereit. Ein Beispiel einer Maskenherstellung wird unter Bezugnahme auf die 8 - 11 beschrieben. In dieser Hinsicht ist 8 ein Flussdiagramm eines Verfahrens 800 zum Herstellen einer photolithographischen Maske gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Zusätzliche Schritte können vor, während und nach dem Verfahren 800 vorgesehen sein und einige der beschriebenen Schritte können für weitere Ausführungsformen des Verfahrens 800 ersetzt oder weggelassen werden. Die 9 und 10 sind Querschnittsansichten eines Abschnitts einer Maske 900, die gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung hergestellt wird. 10 ist eine Draufsicht eines Abschnitts der Maske 900, die gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung hergestellt wird. Da eine Maske Millionen von Maskenmerkmalen (oder mehr) umfassen kann, wird der Klarheit halber nur eine kleine Teilmenge der Merkmale gezeigt. Die fertige Maske 900 kann im Wesentlichen der Maske 106 von 1 ähneln und ist zur Verwendung mit dem gezeigten Lithographiesystem 100 geeignet.
  • Bezugnehmend auf Block 802 von 8 und auf 9 wird die Maske 900 zur Herstellung empfangen. Da die Maske 900 noch nicht strukturiert ist, kann sie als Maskenrohling bezeichnet werden. Die Maske 900 von 9 stellt eine reflektierende Maske dar, obwohl die Prinzipien der vorliegenden Offenbarung gleichermaßen für eine durchlässige Maske gelten.
  • Die Maske 900 umfasst verschiedene Schichten, die auf einem Maskensubstrat 902 ausgebildet sind. Das Maskensubstrat 902 kann ein Material mit geringer Wärmeausdehnung (LTEM) wie Quarz, LTEM-Glas, Silizium, Siliziumkarbid, Siliziumoxid, Titanoxid, Black Diamond® (ein Warenzeichen von Applied Materials) und/oder ein anderes geeignetes Maskensubstrat umfassen. Die Maske 106 kann eine reflektierende Struktur 904 umfassen, beispielsweise einen Mehrschichtspiegel (MLM), die auf dem Maskensubstrat 902 angeordnet ist. Ein MLM kann eine Anzahl alternierender Materialschichten aufweisen, die in ihrer Dicke und/oder ihrem Material angepasst sind, um eine optimale konstruktive Interferenz der an jeder Materialgrenzfläche reflektierten Strahlung zu erreichen, während die Lichtabsorption verringert wird. In einer beispielhaften Ausführungsform umfasst ein MLM 40 Paare alternierender Molybdän- und Silizium- (Mo-Si) -Schichten. In weiteren beispielhaften Ausführungsformen umfasst ein MLM zwischen 20 und 80 Paare alternierender Molybdän- und Beryllium- (Mo-Be) -Schichten. Strahlung, die die reflektierende Struktur 904 erreicht, wird zur Verwendung beim Belichten eines Photoresists 116 eines Werkstücks 112 zurückreflektiert. Eine Deckschicht 906 (auch als Pufferschicht bezeichnet) kann über der reflektierenden Struktur 904 angeordnet sein und ein Material wie Ru, Siliziumdioxid, amorphen Kohlenstoff und/oder ein anderes geeignetes Material umfassen.
  • Die Maske 900 umfasst eine Absorptionsschicht 908, die auf der Deckschicht 906 angeordnet ist. Wie der Name schon sagt, absorbiert die Absorptionsschicht 908 Strahlung und hindert sie daran, das Werkstück 112 zu erreichen. Geeignete Materialien zur Verwendung in der Absorptionsschicht 908 umfassen TaN, TaBN, TiN, Chrom, Kombinationen davon und/oder andere geeignete Absorptionsmaterialien. In einigen Ausführungsformen umfasst die Absorptionsschicht 908 mehrere Schichten aus Absorptionsmaterial, beispielsweise eine Chromschicht und eine Tantalnitridschicht. Die Absorptionsschicht 908 kann auch eine Antireflexbeschichtung (ARC) umfassen und geeignete ARC-Materialien umfassen TaBO, Cr2O3, SiO2, SiN, TaO5, TaON und/oder andere geeignete Materialien.
  • Unter Bezugnahme auf Block 804 von 8 und die 10 und 11 wird die Absorptionsschicht 908 der Maske auf Grundlage eines Layouts wie des oben beschriebenen Layouts 300 strukturiert. In einigen Beispielen wird die Absorptionsschicht 908 unter Verwendung eines Direktschreibprozesses strukturiert, wobei ein Laser, ein Elektronenstrahl (e-Beam), ein Ionenstrahl oder eine andere eng fokussierte Emissionsquelle Bereiche der Absorptionsschicht 908 schwächt und/oder entfernt.
  • In einigen Beispielen wird ein Resist ähnlich dem obigen Photoresist 116, der für eine Direktschreibemission empfindlich ist (z. B. ein laserempfindlicher Resist, ein elektronenstrahlempfindlicher Resist, ein ionenstrahlempfindlicher Resist usw.) auf der Absorptionsschicht ausgebildet und mit einem Direktschreibwerkzeug belichtet. Der Resist wird dann entwickelt, um selektiv entweder die unbelichteten oder die belichteten Abschnitte zu entfernen und dadurch Abschnitte der Absorptionsschicht 908 freizulegen, die entfernt werden sollen. Eine Ätztechnik (z. B. Trockenätzen, Nassätzen, reaktives Ionenätzen (RIE) usw.) kann durchgeführt werden, um die freigelegten Abschnitte der Absorptionsschicht 908 zu entfernen.
  • Bezugnehmend auf Block 806 von 8 wird die strukturierte Maske 106 zur Verwendung in einem photolithographischen Prozess zum Herstellen einer integrierten Schaltung oder eines anderen geeigneten photolithographischen Prozesses bereitgestellt.
  • In verschiedenen Ausführungsformen wird die Technik unter Verwendung von Kombinationen aus zweckbestimmten Berechnungselementen mit fester Funktion und programmierbaren Berechnungselementen ausgeführt, die Softwarebefehle ausführen. Dementsprechend versteht es sich, dass jeder der Schritte des Verfahrens 200 und/oder des Verfahrens 800 durch ein Computersystem unter Verwendung entsprechender Befehle implementiert werden kann, die auf oder in einem nichtflüchtigen maschinenlesbaren Medium gespeichert sind, auf das das Verarbeitungssystem zugreifen kann. Beispiele eines solchen Systems und eines nichtflüchtigen maschinenlesbaren Mediums werden unter Bezugnahme auf 12 beschrieben. Hierin ist 12 ein Blockdiagramm einer Lithographieumgebung 1200 gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
  • Die Lithographieumgebung 1200 umfasst ein Steuersystem 1202. Das Steuersystem 1202 umfasst eine Verarbeitungsressource 1204, die eine beliebige Anzahl und Art von Verarbeitungselementen umfassen kann, wie etwa zentrale Verarbeitungseinheiten (CPUs), grafische Verarbeitungseinheiten (GPUs), anwendungsspezifische integrierte Schaltungen (ASICs), Mikrocontroller und/oder andere geeignete Verarbeitungselemente. Die Verarbeitungsressource 1204 ist mit einem physischen nichtflüchtigen maschinenlesbaren Medium 1206 verbunden, um auf dem Medium 1206 gespeicherte Befehle auszuführen. Für die Zwecke dieser Beschreibung kann das physische nichtflüchtige maschinenlesbare Medium 1206 ein beliebiges Gerät sein, das das Programm zur Verwendung durch das Befehlsausführungssystem, -gerät oder die Befehlsausführungsvorrichtung oder in Zusammenhang mit diesen speichern kann. Das Medium 1206 kann einen nichtflüchtigen Speicher umfassen, beispielsweise einen magnetischen Speicher, einen Festkörperspeicher, einen optischen Speicher, einen Cache-Speicher und/oder einen batteriegepufferten Direktzugriffsspeicher (RAM). In verschiedenen Beispielen speichert das Medium 1206 Befehle, die die Verarbeitungsressource 1204 veranlassen, die Prozesse des Verfahrens 200 zum Definieren einer Maske zum Herstellen einer integrierten Schaltung und/oder die Prozesse des Verfahrens 800 zum Herstellen der Maske durchzuführen.
  • Zu diesem Zweck kann das Steuersystem 1202 eine Fertigungsschnittstelle 1208 umfassen, die Signale an ein Lithographiesystem 100 und/oder ein Maskenherstellungssystem 1210 sendet und von diesen empfängt. Das Steuersystem 1202 kann auch eine E/A-Schnittstelle 1212 zur Datenübertragung von Testinformationen und Ergebnissen mit einem Benutzer und/oder anderen Computersystemen umfassen. Dementsprechend kann die E/A-Schnittstelle 1212 Controller für die Videoausgabe (z. B. eine GPU), eine Benutzereingabe (z. B. Controller für eine Tastatur, eine Maus, ein Stift-Eingabegerät, ein Touchpad usw.), Netzwerkcontroller (z. B. Controller für Ethernet und/oder drahtlose Kommunikation) und/oder andere geeignete E/A-Controller umfassen.
  • Somit sieht die vorliegende Offenbarung Beispiele eines Systems und einer Technik zum Durchführen von optischer Nahbereichskorrektur und zum Ausbilden einer Photomaske vor. In einigen Beispielen umfasst ein Verfahren das Empfangen eines Layouts, das eine Form umfasst, die auf einer Photomaske ausgebildet werden soll. Eine Mehrzahl von lithographischen Zielkonturen werden für die Form bestimmt, die eine erste lithographische Zielkontur für einen ersten Satz von Prozessbedingungen und eine zweite lithographische Zielkontur für einen zweiten Satz von Prozessbedingungen umfassen, die sich von der ersten lithographischen Zielkontur unterscheidet. Eine lithographische Simulation des Layouts wird durchgeführt, um eine erste simulierte Kontur bei dem ersten Satz von Prozessbedingungen und eine zweite simulierte Kontur bei dem zweiten Satz von Prozessbedingungen zu erzeugen. Ein erster Kantenplatzierungsfehler wird zwischen der ersten simulierten Kontur und der ersten lithographischen Zielkontur bestimmt und ein zweiter Kantenplatzierungsfehler wird zwischen der zweiten simulierten Kontur und der zweiten lithographischen Zielkontur bestimmt. Eine Modifikation des Layouts wird auf Grundlage des ersten Kantenplatzierungsfehlers und des zweiten Kantenplatzierungsfehlers bestimmt; und das Layout mit der Modifikation wird zur Herstellung der Photomaske bereitgestellt. In einigen solchen Beispielen entspricht der erste Satz von Prozessbedingungen optimalen Prozessbedingungen und der zweite Satz von Prozessbedingungen umfasst eine Prozessbedingung, die von einem optimalen Wert abweicht. In einigen solchen Beispielen basiert die zweite lithographische Zielkontur auf einer Simulation des Layouts bei einem dritten Satz von Prozessbedingungen, der sich von dem zweiten Satz von Prozessbedingungen unterscheidet. In einigen solchen Beispielen umfasst der zweite Satz von Prozessbedingungen eine Prozessbedingung, die von einem optimalen Wert abweicht, und der dritte Satz von Prozessbedingungen umfasst den optimalen Wert. In einigen solchen Beispielen umfassen die Mehrzahl von lithographischen Zielkonturen ferner eine dritte lithographische Zielkontur für einen vierten Satz von Prozessbedingungen, das Durchführen der lithographischen Simulation des Layouts erzeugt ferner eine dritte simulierte Kontur bei dem vierten Satz von Prozessbedingungen und der dritte Satz von Prozessbedingungen umfasst einen Wert, der ein Durchschnitt eines Werts des zweiten Satzes von Prozessbedingungen und eines Werts des vierten Satzes von Prozessbedingungen ist. In einigen solchen Beispielen basiert die dritte lithographische Zielkontur auf der Simulation des Layouts bei dem dritten Satz von Prozessbedingungen. In einigen solchen Beispielen umfasst das Bestimmen der Modifikation des Layouts eine Kostenminimierungsanalyse einer Mehrzahl potentieller Modifikationen des Layouts. In einigen solchen Beispielen umfasst die Kostenminimierungsanalyse das Bestimmen von Kosten für jede der Mehrzahl potentieller Modifikationen bei dem ersten Satz von Prozessbedingungen und dem zweiten Satz von Prozessbedingungen auf Grundlage von Kantenplatzierungsfehlern, die der jeweiligen Modifikation zugeordnet sind. In einigen solchen Beispielen basieren die Kosten auf einer Summe von Quadraten des ersten Kantenplatzierungsfehlers und des zweiten Kantenplatzierungsfehlers.
  • In weiteren Beispielen umfasst ein Verfahren das Empfangen eines Layouts zum Herstellen einer Maske. Eine Mehrzahl von Zielkonturen wird entsprechend einer Mehrzahl von Sätzen von Prozessbedingungen bestimmt, wobei sich eine erste Kontur der Mehrzahl von Zielkonturen von einer zweiten Kontur der Mehrzahl von Zielkonturen unterscheidet. Für jede einer Mehrzahl von potentiellen Modifikationen des Layouts wird Folgendes durchgeführt: Ein Lithographieprozess der jeweiligen potentiellen Modifikation bei der Mehrzahl von Sätzen von Prozessbedingungen wird simuliert, um eine Mehrzahl von simulierten Konturen zu erzeugen, Kantenplatzierungsfehler werden auf Grundlage der Mehrzahl von simulierten Konturen und der Mehrzahl von Zielkonturen bestimmt und Kosten werden der jeweiligen potentiellen Modifikation auf Grundlage der Kantenplatzierungsfehler zugeordnet. Das Layout und eine Modifikation der Mehrzahl von potentiellen Modifikationen mit den geringsten damit verbundenen Kosten werden zur Herstellung der Maske bereitgestellt. In einigen solchen Beispielen gehört die zweite Kontur der Mehrzahl von Zielkonturen zu einem zweiten Satz von Prozessbedingungen und basiert auf dem Simulieren des Layouts bei einem dritten Satz von Prozessbedingungen, der sich von dem zweiten Satz von Prozessbedingungen unterscheidet. In einigen solchen Beispielen umfasst der zweite Satz von Prozessbedingungen einen Wert für einen Prozessparameter, der von einem optimalen Wert für den Prozessparameter abweicht, und der dritte Satz von Prozessbedingungen umfasst den optimalen Wert. In einigen solchen Beispielen umfasst der dritte Satz von Prozessbedingungen einen Wert, der ein Durchschnitt einer Teilmenge der Mehrzahl von Sätzen von Prozessbedingungen ist, die den zweiten Satz von Prozessbedingungen umfasst. In einigen solchen Beispielen basieren die Kosten ferner auf einem Herstellungsaufschlag, der zu der jeweiligen potentiellen Modifikation gehört. In einigen solchen Beispielen basieren die Kosten auf einer Quadratsumme der Kantenplatzierungsfehler.
  • In noch weiteren Beispielen umfasst ein Verfahren das Empfangen eines Layouts, das eine Form umfasst, die einem auf einem Werkstück auszubildenden Merkmal entspricht. Ein optischer Nahbereichskorrekturprozess wird an dem Layout durchgeführt, gekennzeichnet durch: Bestimmen einer Mehrzahl von Zielkonturen für die Form, die zu Prozessbedingungen innerhalb eines Prozessfensters gehören, wobei sich eine erste Kontur der Mehrzahl von Zielkonturen von einer zweiten Kontur der Mehrzahl von Zielkonturen unterscheidet; Simulieren einer Mehrzahl potentieller Modifikationen des Layouts bei den Prozessbedingungen innerhalb des Prozessfensters, um simulierte Konturen zu erzeugen; und Bewerten von Kosten der Mehrzahl potentieller Modifikationen auf Grundlage eines Vergleichs der simulierten Konturen mit der Mehrzahl von Zielkonturen. Das Layout und eine Modifikation der Mehrzahl von potentiellen Modifikationen mit den niedrigsten Kosten werden zum Herstellen einer Photomaske zum Ausbilden des Merkmals auf dem Werkstück bereitgestellt. In einigen solchen Beispielen umfassen die Prozessbedingungen einen ersten Satz, der optimalen Prozessbedingungen entspricht, und einen zweiten Satz, der einen Wert umfasst, der von einem optimalen Wert abweicht. In einigen solchen Beispielen umfasst der zweite Satz einen ersten Wert für einen ersten Prozessparameter, der von einem ersten optimalen Wert abweicht, und einen zweiten Wert für einen zweiten Prozessparameter, der von einem zweiten optimalen Wert abweicht. In einigen solchen Beispielen basiert eine Zielkontur der Mehrzahl von Zielkonturen, die zu dem zweiten Satz gehört, auf einer Simulation des Layouts bei einem dritten Satz von Prozessbedingungen, der den ersten Wert für den ersten Prozessparameter und den zweiten optimalen Wert für den zweiten Prozessparameter umfasst. In einigen solchen Beispielen basieren die Kosten auf Kantenplatzierungsfehlern zwischen den simulierten Konturen und der Mehrzahl von Zielkonturen.
  • Das Vorangehende beschreibt Elemente von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um weitere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62585914 [0001]

Claims (20)

  1. Verfahren, umfassend: Empfangen eines Layouts, das eine Form aufweist, die auf einer Photomaske ausgebildet werden soll; Bestimmen eine Mehrzahl von lithographischen Zielkonturen für die Form, wobei die Mehrzahl von lithographischen Zielkonturen eine erste lithographische Zielkontur für einen ersten Satz von Prozessbedingungen und eine zweite lithographische Zielkontur für einen zweiten Satz von Prozessbedingungen umfasst, die sich von der ersten lithographischen Zielkontur unterscheidet; Durchführen einer lithographischen Simulation des Layouts, um eine erste simulierte Kontur mit dem ersten Satz von Prozessbedingungen und eine zweite simulierte Kontur mit dem zweiten Satz von Prozessbedingungen zu erzeugen; Bestimmen eines ersten Kantenplatzierungsfehlers zwischen der ersten simulierten Kontur und der ersten lithographischen Zielkontur und eines zweiten Kantenplatzierungsfehlers zwischen der zweiten simulierten Kontur und der zweiten lithographischen Zielkontur; Bestimmen einer Modifikation des Layouts auf Grundlage des ersten Kantenplatzierungsfehlers und des zweiten Kantenplatzierungsfehlers; und Bereitstellen des Layouts mit der Modifikation zum Herstellen der Photomaske.
  2. Verfahren nach Anspruch 1, wobei: der erste Satz von Prozessbedingungen optimalen Prozessbedingungen entspricht; und der zweite Satz von Prozessbedingungen eine Prozessbedingung umfasst, die von einem optimalen Wert abweicht.
  3. Verfahren nach Anspruch 1 oder 2, wobei die zweite lithographische Zielkontur auf einer Simulation des Layouts bei einem dritten Satz von Prozessbedingungen basiert, der sich von dem zweiten Satz von Prozessbedingungen unterscheidet.
  4. Verfahren nach Anspruch 3, wobei: der zweite Satz von Prozessbedingungen eine Prozessbedingung umfasst, die von einem optimalen Wert abweicht; und der dritte Satz von Prozessbedingungen den optimalen Wert umfasst.
  5. Verfahren nach Anspruch 3 oder 4, wobei: die Mehrzahl von lithographischen Zielkonturen ferner eine dritte lithographische Zielkontur für einen vierten Satz von Prozessbedingungen umfasst; das Durchführen der lithographischen Simulation des Layouts ferner eine dritte simulierte Kontur mit dem vierten Satz von Prozessbedingungen erzeugt; und der dritte Satz von Prozessbedingungen einen Wert umfasst, der ein Durchschnitt eines Werts des zweiten Satzes von Prozessbedingungen und eines Werts des vierten Satzes von Prozessbedingungen ist.
  6. Verfahren nach Anspruch 5, wobei die dritte lithographische Zielkontur auf der Simulation des Layouts mit dem dritten Satz von Prozessbedingungen basiert.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bestimmen der Modifikation des Layouts eine Kostenminimierungsanalyse einer Mehrzahl potentieller Modifikationen des Layouts umfasst.
  8. Verfahren nach Anspruch 7, wobei die Kostenminimierungsanalyse das Bestimmen von Kosten für jede der Mehrzahl potentieller Modifikationen auf Grundlage von Kantenplatzierungsfehlern umfasst, die der jeweiligen Modifikation bei dem ersten Satz von Prozessbedingungen und dem zweiten Satz von Prozessbedingungen zugeordnet sind.
  9. Verfahren nach Anspruch 8, wobei die Kosten auf einer Summe von Quadraten des ersten Kantenplatzierungsfehlers und des zweiten Kantenplatzierungsfehlers basieren.
  10. Verfahren, umfassend: Empfangen eines Layouts zum Herstellen einer Maske; Bestimmen einer Mehrzahl von Zielkonturen, die zu einer Mehrzahl von Sätzen von Prozessbedingungen gehören, wobei sich eine erste Kontur der Mehrzahl von Zielkonturen von einer zweiten Kontur der Mehrzahl von Zielkonturen unterscheidet; Durchführen für jede einer Mehrzahl von potentiellen Modifikationen an dem Layout des Folgenden: Simulieren eines Lithographieprozesses der jeweiligen potentiellen Modifikation bei der Mehrzahl von Sätzen von Prozessbedingungen, um eine Mehrzahl von simulierten Konturen zu erzeugen; Bestimmen von Kantenplatzierungsfehlern auf Grundlage der Mehrzahl von simulierten Konturen und der Mehrzahl von Zielkonturen; und Zuordnen von Kosten zu der jeweiligen potentiellen Modifikation auf Grundlage der Kantenplatzierungsfehler; und Bereitstellen des Layouts und einer Modifikation der Mehrzahl potentieller Modifikationen mit den niedrigsten zugehörigen Kosten zum Herstellen der Maske.
  11. Verfahren nach Anspruch 10, wobei die zweite Kontur der Mehrzahl von Zielkonturen zu einem zweiten Satz von Prozessbedingungen gehört und auf dem Simulieren des Layouts bei einem dritten Satz von Prozessbedingungen basiert, der sich von dem zweiten Satz von Prozessbedingungen unterscheidet.
  12. Verfahren nach Anspruch 11, wobei: der zweite Satz von Prozessbedingungen einen Wert für einen Prozessparameter umfasst, der von einem optimalen Wert für den Prozessparameter abweicht; und der dritte Satz von Prozessbedingungen den optimalen Wert umfasst.
  13. Verfahren nach Anspruch 11 oder 12, wobei der dritte Satz von Prozessbedingungen einen Wert umfasst, der ein Durchschnitt aus einer Teilmenge der Mehrzahl von Sätzen von Prozessbedingungen ist, die den zweiten Satz von Prozessbedingungen umfasst.
  14. Verfahren nach einem der Ansprüche 10 bis 13, wobei die Kosten ferner auf einem Herstellungsaufschlag basieren, der der jeweiligen potentiellen Modifikation zugeordnet ist.
  15. Verfahren nach einem der Ansprüche 10 bis 14, wobei die Kosten auf einer Quadratsumme der Kantenplatzierungsfehler basieren.
  16. Verfahren, umfassend: Empfangen eines Layouts, das eine Form umfasst, die einem auf einem Werkstück auszubildenden Merkmal entspricht; Durchführen eines Kompensationsprozesses für das Layout durch: Bestimmen einer Mehrzahl von Zielkonturen für die Form, die zu Prozessbedingungen innerhalb eines Prozessfensters gehören, wobei sich eine erste Kontur der Mehrzahl von Zielkonturen von einer zweiten Kontur der Mehrzahl von Zielkonturen unterscheidet; Simulieren einer Mehrzahl potentieller Modifikationen des Layouts bei den Prozessbedingungen innerhalb des Prozessfensters, um simulierte Konturen zu erzeugen; und Bewerten der Kosten der Mehrzahl potentieller Modifikationen auf Grundlage eines Vergleichs der simulierten Konturen mit der Mehrzahl von Zielkonturen; und Bereitstellen des Layouts und einer Modifikation der Mehrzahl potentieller Modifikationen mit den geringsten Kosten zum Herstellen einer Photomaske zum Ausbilden des Merkmals auf dem Werkstück.
  17. Verfahren nach Anspruch 16, wobei die Prozessbedingungen einen ersten Satz, der optimalen Prozessbedingungen entspricht, und einen zweiten Satz umfassen, der einen Wert umfasst, der von einem optimalen Wert abweicht.
  18. Verfahren nach Anspruch 17, wobei der zweite Satz einen ersten Wert für einen ersten Prozessparameter, der von einem ersten optimalen Wert abweicht, und einen zweiten Wert für einen zweiten Prozessparameter umfasst, der von einem zweiten optimalen Wert abweicht.
  19. Verfahren nach Anspruch 18, wobei eine Zielkontur der Mehrzahl von Zielkonturen, die zu dem zweiten Satz gehört, auf einer Simulation des Layouts bei einem dritten Satz von Prozessbedingungen basiert, der den ersten Wert für den ersten Prozessparameter und den zweiten optimalen Wert für den zweiten Prozessparameter umfasst.
  20. Verfahren nach einem der Ansprüche 16 bis 19, wobei die Kosten auf Kantenplatzierungsfehlern zwischen den simulierten Konturen und der Mehrzahl von Zielkonturen basieren.
DE102018125109.2A 2017-11-14 2018-10-11 Optische Nahbereichskorrektur Active DE102018125109B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762585914P 2017-11-14 2017-11-14
US62/585,914 2017-11-14
US16/057,277 US10678142B2 (en) 2017-11-14 2018-08-07 Optical proximity correction and photomasks
US16/057,277 2018-08-07

Publications (2)

Publication Number Publication Date
DE102018125109A1 true DE102018125109A1 (de) 2019-05-16
DE102018125109B4 DE102018125109B4 (de) 2022-10-13

Family

ID=66335418

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018125109.2A Active DE102018125109B4 (de) 2017-11-14 2018-10-11 Optische Nahbereichskorrektur

Country Status (3)

Country Link
US (3) US11243472B2 (de)
DE (1) DE102018125109B4 (de)
TW (1) TWI752278B (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018125109B4 (de) * 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
EP4034944A4 (de) * 2019-09-23 2023-10-04 Applied Materials, Inc. Lithografische simulation und optische annäherungskorrektur
TWI729593B (zh) * 2019-11-27 2021-06-01 台灣積體電路製造股份有限公司 積體電路之製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4525893B2 (ja) * 2003-10-24 2010-08-18 信越化学工業株式会社 位相シフトマスクブランク、位相シフトマスク及びパターン転写方法
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7703049B2 (en) * 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
JP5479328B2 (ja) 2007-06-04 2014-04-23 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
US8572517B2 (en) * 2008-06-10 2013-10-29 Cadence Design Systems, Inc. System and method for modifying a data set of a photomask
US8956463B2 (en) * 2008-10-08 2015-02-17 Shin-Etsu Chemical Co., Ltd. Method for cleaning photomask-related substrate, cleaning method, and cleaning fluid supplying apparatus
NL2008966A (en) * 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
TWI548932B (zh) * 2012-04-23 2016-09-11 聯華電子股份有限公司 用於雙重曝光製程的光罩組暨使用該光罩組的方法
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9195134B2 (en) 2013-08-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit mask patterning
US9612541B2 (en) 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9754068B2 (en) 2014-12-09 2017-09-05 Globalfoundries Inc. Method, computer readable storage medium and computer system for creating a layout of a photomask
US9583438B2 (en) 2014-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with misaligned metal lines coupled using different interconnect layer
US9928316B2 (en) * 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
JP2018527612A (ja) * 2015-08-25 2018-09-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のための抑制フィルタ、放射コレクタ及び放射源、並びに抑制フィルタの少なくとも2つの反射面レベル間の分離距離を決定する方法
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) * 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10534257B2 (en) * 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
DE102018125109B4 (de) * 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
US10678142B2 (en) * 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks

Also Published As

Publication number Publication date
US11789370B2 (en) 2023-10-17
TWI752278B (zh) 2022-01-11
US20200301289A1 (en) 2020-09-24
US20230384691A1 (en) 2023-11-30
US11243472B2 (en) 2022-02-08
DE102018125109B4 (de) 2022-10-13
US20220155692A1 (en) 2022-05-19
TW201923444A (zh) 2019-06-16

Similar Documents

Publication Publication Date Title
DE112006002656B4 (de) Größerer Prozesstoleranzbereich unter Verwendung diskreter Hilfsstrukturelemente
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE102005005591B3 (de) Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
DE60210852T2 (de) Beleuchtungsoptimierung für spezifische Maskenmuster
US10678142B2 (en) Optical proximity correction and photomasks
DE69435069T2 (de) Verfahren zur Herstellung einer photolithographischen Maske
JP4746649B2 (ja) 光近接効果補正のための多変数解法
US7475383B2 (en) Method of fabricating photo mask
KR100629292B1 (ko) 프로세스 근접 효과의 예측 모델의 작성 방법, 공정의관리 방법, 반도체 장치의 제조 방법 및 포토마스크의제조 방법
DE102006017938A1 (de) Fokusüberwachungsverfahren, Photomaske und photolithographisches System
US11789370B2 (en) Optical proximity correction and photomasks
DE102014217907B4 (de) Verfahren zum Herstellen einer Maske für den extrem ultra-violetten Wellenlängenbereich und Maske
DE102005048107A1 (de) Verfahren zum Bestimmen einer optimalen Absorber-Schichtenstapelgeometrie für eine lithographische Reflexionsmaske
DE102006018074A1 (de) Photomaske
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE112005000963B4 (de) Verfahren zum photolithographischen Herstellen einer integrierten Schaltung
DE102018103231B4 (de) Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben
DE112011102331T5 (de) Verfahren zum schnellen Schätzen bindender Lithografiestrukturen in einem Layout einer integrierten Schaltung
DE102005009554B4 (de) Verfahren zur Fokuskorrektur eines Belichtungsgeräts bei der lithographischen Projektion und Verfahren zur Auswertung von Messergebnissen eines Messgeräts für die Fokuskorrektur eines Belichtungsgeräts in einer Halbleiterfertigungsanlage
DE10337286B4 (de) Verfahren zur Projektion eines auf einer Maske angeordneten Schaltungsmusters auf einen Halbleiterwafer
DE60118308T2 (de) Methode zur Korrektur optischer Naheffekte
TWI619035B (zh) 置入散色條於微影製程的方法
DE102004009173A1 (de) Verfahren zur Kompensation der Verkürzung von Linienenden bei der Bildung von Linien auf einem Wafer
DE102019100154B4 (de) Verfahren zum Durchführen eines Lithografieprozesses und Lithographieprozess-Überwachungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final