JP2010508660A - 温度制御マルチガス分配アセンブリ - Google Patents

温度制御マルチガス分配アセンブリ Download PDF

Info

Publication number
JP2010508660A
JP2010508660A JP2009534754A JP2009534754A JP2010508660A JP 2010508660 A JP2010508660 A JP 2010508660A JP 2009534754 A JP2009534754 A JP 2009534754A JP 2009534754 A JP2009534754 A JP 2009534754A JP 2010508660 A JP2010508660 A JP 2010508660A
Authority
JP
Japan
Prior art keywords
gas
channels
manifold
fluid
lid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009534754A
Other languages
English (en)
Inventor
ニョイ オー ミョ,
スティーヴン ポッペ,
ジョージ マッティンガー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010508660A publication Critical patent/JP2010508660A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

ガス分配プレート用の装置および方法が提供される。該ガス分配プレートは、基板上の処理ゾーンに少なくとも2つの別個のガスを提供するための複数の同心チャネルを含む第1のマニホルドを有する。該複数のチャネルの一部が熱制御機能を実行して、残りのチャネルから分離され、これらは該ガス分配プレート内に個別ガス流チャネルを提供する。該ガス流チャネルは、複数の同心リングを含む第2のマニホルドと流体連通している。該リングに形成されたアパーチャーは、該ガス流チャネルおよび該処理ゾーンと流体連通している。該ガスは、該基板上の該処理ゾーンに提供されて、該ガス分配プレート内では混合しない。
【選択図】 図5

Description

発明の背景
発明の分野
[0001]本発明は、半導体ウェーハなどの基板を処理するための装置、より特定的には、基板上にプロセス流体を分配するための装置に関する。
従来技術の説明
[0002]半導体処理システムは概して、処理領域に近接したチャンバ内に、半導体ウェーハなどの基板をサポートするためのペデスタルを有するプロセスチャンバを含んでいる。チャンバは、処理領域を部分的に画成する真空エンクロージャを形成する。ガス分配アセンブリやシャワーヘッドは1つ以上のプロセスガスを処理領域に提供する。そしてこのガスは加熱および/またはエネルギ付与されて、基板に特定のプロセスを実行するプラズマを形成する。これらのプロセスは、その他のプロセスとして、基板上に膜を堆積する化学気相堆積法(CVD)や、基板から材料を除去するエッチング反応などの堆積プロセスを含むことがある。
[0003]複数のガスを必要とするプロセスにおいて、これらのガスは、導管を介してガス分配アセンブリに結合される混合チャンバ内で組み合わされてもよい。例えば、従来の熱CVDプロセスでは、2つのプロセスガスが、2つのそれぞれのキャリアガスに伴って混合チャンバに供給され、ここで組み合わされてガス状混合物を形成する。ガス状混合物はチャンバに直接導入されてもよく、あるいは、チャンバの上部内の導管を介して分配アセンブリに移動してもよい。分配アセンブリは、慨して、ガス状混合物が基板上の処理領域に均等に分配されるように、複数のホールを有するプレートを含んでいる。別の例では、2つのガスは分配アセンブリを別個に通過して、処理領域および/または基板に達する前に結合可能になる。ガス状混合物が処理領域に入り、熱エネルギを注入されると、プロセスガス間に化学反応が生じ、基板上に化学気相堆積反応をもたらす。
[0004]処理領域に開放する前にガスを混合して、例えばコンポーネントガスが処理領域に均一に分布されることを保証することは概して好都合であるが、ガスは還元を開始し、そうでなければ混合チャンバや分配プレート内で反応する傾向がある。結果として、混合チャンバ、導管、分配プレートおよび他のチャンバコンポーネントでの堆積やこれらのエッチングは、ガス混合物が処理領域に達する前に生じることがある。加えて、反応副生成物は、チャンバガス送出コンポーネントや分配プレートの内部表面に蓄積することがあり、不要な粒子を発生および/または増加させることになる。
[0005]ガスが処理領域に開放される際のガスの温度制御はガスの反応性を制御するために好都合である。例えば、ガスの冷却は、処理領域に開放する前に不要な反応を制御する一助となり得る。ガスは、加熱基板と接触するまで、反応を抑制する。他の状況では、ガスの加熱が必要な場合もある。例えば、ホットガスのパージや洗浄は、処理チャンバから汚染物を除去する一助となることもある。したがって、ガス分配プレートへの温度制御態様の一体化は有用である。
[0006]処理領域に入る前にガス混合物を最小化するためのいくつかのガス分配デバイスが開発されてきたが、これらのデバイスは、処理中に早期の段階で劣化する傾向がある。例えば、従来の分配デバイスは、処理中に伸縮する材料から構成されてもよく、デバイスや処理チャンバの他の部分の劣化につながる。従来のデバイスはまた、経時的に劣化し得る直径の大きなOリングなどの、大型エラストマーシールによるシーリングを必要とすることもあり、これはデバイス内の漏洩につながることもある。さらに、2つ以上のガスを処理領域に送出する従来のデバイスは、処理領域で均一に混合されないこともあり、基板上の不均一な堆積につながる。
[0007]したがって、ガスの温度を制御しつつ、処理領域に達する前にガスが混ざることなく、少なくとも2つのガスを処理領域に送出するガス分配デバイスが継続的に必要とされる。加えて、大型Oリングを使用せずにシールするガス分配デバイスが必要とされる。
[0008]本明細書に説明されている実施形態は、基板に膜を堆積して基板をエッチングするための処理チャンバにプロセス流体を送出するための装置および方法と、他のプロセスに関する。
[0009]一実施形態では、処理チャンバにプロセス流体を送出するための装置が説明されている。該装置は、複数の隔離流体チャネルを少なくとも部分的にこの中に形成している第1のマニホルドと、該第1のマニホルドに結合された第2のマニホルドとを含んでおり、該複数の隔離流体チャネルの一部は、該第2のマニホルドと連通している第1および第2のガスチャネルを含んでいる。
[0010]別の実施形態では、処理チャンバ用の蓋アセンブリについて説明されている。該蓋アセンブリは、流体隔離された第1および第2の流路をこの中に画成している上部マニホルドと、上部が該上部マニホルドに結合されており、かつ底部がそれぞれ該第1の流路に流体結合された第1の複数の出口、および該第2の流路に流体結合された第2の複数の出口を有する下部マニホルドとを備えており、該下部マニホルドは、材料間のシールを形成するように適合された接合リングの外部表面とシール接触している内部表面を有する複数の同心リングを備えている。
[0011]別の実施形態では、処理チャンバにプロセス流体を送出するための装置が説明されている。該装置は、上部および底部を具備するマニホルドアセンブリであって、該上部が、複数の流体隔離円形チャネルをこの上に部分的に形成しており、かつ底部が環状出口をこの中に形成しているマニホルドアセンブリと、上部、底部および縁部を有する蓋プレートとを含んでおり、該蓋プレートの該底部は該マニホルドアセンブリの該上部に結合されており、該蓋プレートは、少なくとも2つのガス通路および複数の熱制御流体通路を、該複数の円形チャネルと流体連通してこの中に形成している。
[0012]別の実施形態では、処理チャンバ用の蓋アセンブリが説明されている。該蓋アセンブリは、複数の流体隔離チャネルを部分的にこの中に形成している上部マニホルドと、該上部マニホルドに結合された下部マニホルドとを含んでおり、該複数の流体隔離チャネルの第1の部分は、該下部マニホルドと流体連通している第1および第2のガスチャネルを備えており、該複数の流体隔離チャネルの第2の部分は複数の熱制御チャネルを備えている。
[0013]別の実施形態では、処理チャンバにプロセス流体を送出するための装置が説明されている。該装置は、上部および底部を具備するマニホルドアセンブリであって、該上部が複数の流体隔離円形チャネルをこの上に部分的に形成しており、かつ該底部が環状出口を中に形成しているマニホルドアセンブリと、上部、底部および縁部を有する蓋プレートとを含んでおり、該蓋プレートの該底部は該マニホルドアセンブリの該上部に結合されており、該蓋プレートは、少なくとも2つのガス通路および複数の熱制御流体通路を、該複数の円形チャネルと流体連通してこの中に形成している。
[0014]別の実施形態では、ガス分配プレートを作成する方法が説明されている。該方法は、複数の放射状ガス通路をこの中に形成している蓋プレートを提供するステップと、複数の円形チャネルをこの中に形成している第1のマニホルドを提供するステップであって、該複数の円形チャネルの一部が第1および第2のガスチャネルを画成するステップと、複数の環状溝をこの中に形成している第2のマニホルドを提供するステップであって、該第1および第2のガスチャネルは該複数の環状溝と流体連通しているステップと、該第1および第2のマニホルドを結合してガス分配プレートを形成するステップとを含んでいる。

[0015]本発明の上記引用された特徴部が詳細に理解されるように、上記簡潔に要約された本発明のより特定的な説明は実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、したがって、本発明は他の等しく効果的な実施形態を許容してもよいため、この範囲を制限するものとみなされるべきではない点に注目すべきである。しかし、本発明の教示は、添付の図面と関連した以下の詳細な説明を考慮することによって容易に理解可能である。
処理チャンバの一実施形態の概略断面図である。 長手方向軸に沿って回転された、図1に示された処理チャンバの断面図である。 上部マニホルドの一実施形態の概略平面図である。 図3Aに示された上部マニホルドの概略平面図である。 図3Aに示された上部マニホルドの別の概略平面図である。 蓋アセンブリの一実施形態の分解等尺図である。 蓋アセンブリのコンポーネントの詳細概略断面図である。 本発明を実践するために使用可能な蓋アセンブリの一部の詳細図である。
[0024]理解を容易にするために、図面に共通の同一要素を示すために、可能な場合には同一の参照番号が使用されてきた。一実施形態に開示されている要素は、具体的な引用なしに他の実施形態にも有益に利用されてもよい点も想定されている。
詳細な説明
[0025]図1は、処理チャンバ100の一実施形態の断面図である。処理チャンバ100は、内部容積101内に配置されている基板サポート102を含んでいる。半導体ウェーハなどの基板104は、処理チャンバ100の壁に配置されている開口103によって内部容積101を出入りしてもよい。チャンバ100はまた、この上部表面に結合された蓋アセンブリ105を含んでおり、これは、内部容積101の少なくとも一部の境界を形成する。本実施形態では、蓋アセンブリ105は蓋プレート112と、蓋プレート112と流体連通している上部マニホルド113と、上部マニホルド113と流体連通している下部マニホルド114と、蓋リング115とを備えている。
[0026]一実施形態では、蓋アセンブリ105の下部表面および基板104の上部表面が処理領域106を画成する。蓋アセンブリ105の下部マニホルド114は処理領域106と流体連通している。具体的な実施形態では、処理チャンバ100は、基板104に隣接する基板サポート102の一部を囲む、シャドウリング109などの環状部材を含んでいる。シャドウリング109は、基板サポートが処理位置に上昇されると、基板サポート102に接触するように適合されている。基板サポート102が上昇されると、シャドウリング109の周辺部分は、基板サポート102の下部を処理領域106から実質的に隔離する。この隔離は、内部容積101の複数の部分へのプロセスガスの導入を防止または最小化する。処理領域106によって画成されているような内部容積101の容積の削減は、処理チャンバ100に提供されたプロセスガスの容積を削減する。
[0027]一実施形態では、処理領域106の容積は、基板104の上部表面と蓋105の最下表面間の距離によって画成される。基板サポート102は、基板の出入りを可能にするために、処理の前後に昇降されてもよい。真空ポンプ(図示せず)に結合されているプロセスチャンバ101において真空が維持され、また任意の未堆積ガスは、プロセスチャンバ101から環状真空チャネル124および真空ポータル111を介して排出される。
[0028]基板サポート102は、金属(例えば、アルミニウム、スチール、ステンレス鋼、ニッケル、クロム、これらの合金またはこれらの組み合わせ)やセラミック材料などの導電性または非導電性材料から形成されてもよい。具体的な実施形態に応じて、基板104は、製作プロセス時に使用される事前処置ステップ、堆積ステップ、事後処置ステップまたは他のプロセスステップの前に、かつ/またはこの最中に所望の温度に加熱されてもよい。
[0029]一例では、基板サポート102は、抵抗ヒーターなどの埋め込み加熱要素(図示せず)や、加熱流体を供給するために基板サポート102内に形成された導管を使用して加熱されてもよい。別の例では、基板サポート102は、例えばランプ(図示せず)などの放射ヒーターを使用して加熱されてもよい。
[0030]1つ以上の熱電対(図示せず)などの温度センサもまた、基板サポート102の温度を監視するために基板サポート102に埋め込まれてもよい。基板104の温度が、特定のプロセス用途に適した所望の温度に維持または制御されるように、測定温度は、加熱要素の電源を制御するために、フィードバックループで使用されてもよい。基板リフトピン(図示せず)はまた基板サポート102に配置されてもよく、また処理チャンバ100の内外への基板の転送を容易にするために基板104をサポート表面から昇降させるために使用される。
[0031]一実施形態では、ガスなどの流体が、蓋アセンブリ105の入口116に結合されている、バルブ107Aなどの制御バルブを介して処理チャンバ100に導入される。バルブ107Aは、プロセス流体源Fと結合するように適合されている。バルブ107Aは、空気圧、磁気または電気作動バルブなどの、流体やガス流を制御するための任意の制御バルブであってもよい。制御バルブは、ガスパルスや連続ストリームを提供するために、開閉バイアスされ、かつ短期間で開閉起動されてもよい。適切なバルブは、日本、大阪のFujikin,Inc.およびカリフォルニア州、リッチモンドのVerifio Corp.から入手可能である。
[0032]原子層堆積(ALD)プロセスは、バルブ107Aなどの制御バルブを利用して、処理領域106へのガスパルスを発生させる。例えば、バルブ107Aは、10ミリ秒〜5秒の範囲内の開閉サイクルを提供するように構成されてもよい。一例では、バルブは、例えば約10ミリ秒〜約1秒、例えば約50ミリ秒〜700ミリ秒、あるいは約100ミリ秒〜約500ミリ秒の範囲の、約1秒未満の間迅速にパルスされてもよい。別の例では、バルブは、例えば、約1秒〜約5秒、例えば約1.5秒〜4秒、あるいは約2秒〜約3秒の範囲内の、例えば約1秒より長くゆっくりパルスされてもよい。
[0033]図2は、長手方向軸に沿って回転された、図1の処理チャンバ100の別の実施形態の断面図である。入口106(図1)に加えて、蓋アセンブリはまた入口200を含んでいる。入口200はバルブ107Bと結合するように適合されており、これはまた、バルブ107A(図1)と結合されたソースFとは異なる流体源Fと結合される。一実施形態では、バルブ107Bおよび107Aは個別であるが類似しており、各々は、上記のように流体やガスのパルスや連続流を提供することができる。このようなシステムは、2つの個別流路を介して2つのガスを同時に流すために使用可能である。3つ以上のガス経路を具備する実施形態もまた、図1および2によって示された実施形態の延長として想定されている。
[0034]図1および図2に示されている実施形態は、原子層堆積(ALD)プロセス、化学気相堆積(CVD)プロセスまたは有機金属CVD(MOCVDまたはOMCVD)プロセス時に基板に材料を堆積するように構成可能である。概して、本明細書に説明されている実施形態は、高/低圧力プロセス、高/低温度、および連続またはパルスされた同時または代替ガス流で使用されてもよい。バルブ107A、107Bに結合された流体源FおよびFは、四塩化ハフニウム(HfCl)、六フッ化タングステン(WF)または六塩化タングステン(WCl)などの金属ハロゲン化物、タングステンカルボニル(W(CO))などの金属カルボニル、アンモニア(NH)、水素(つまりHまたはH原子)、ヒドラジン(N)、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、ジメチルシラン(SiC)、メチルシラン(SiCH)、エチルシラン(SiC)、クロロシラン(ClSiH)、ジクロロシラン(ClSiH)、ヘキサクロロジシラン(SiCl)、ボラン(BH)、ジボラン(B)、トリボラン、テトラボラン、ペンタボラン、トリエチルボラン(EtB)、これらの誘導体、プラズマまたは組み合わせなどの還元化合物、酸素(O)、オゾン(O)、水(HO)、亜酸化窒素(NO)、酸化窒素(NO)、二酸化窒素(NO)、これらの誘導体や組み合わせなどの酸化化合物、ヘリウム、アルゴン、ネオン、窒素、水素などのキャリアガス、および、金属アルキル類、アミド類、イミド類、アレーン類、アリル類、またはこれらの誘導体や組み合わせなどの有機金属前駆体などの他の化学前駆体を提供してもよい。本発明の実施形態が有用であり得る基板は、結晶性シリコン(例えば、Si<100>やSi<111>)、シリコン酸化物、歪みシリコン、シリコン窒化物、シリコンゲルマニウム、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、金属、金属合金、金属窒化物、ドープまたはアンドープポリシリコンなどの半導体ウェーハ、ドープまたはアンドープシリコンウェーハおよびパターンまたは非パターンウェーハを含むが、これらに制限されない。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニーリングおよび/または焼成するために事前処置プロセスに曝露されてもよい。
[0035]図1および図2を参照すると、蓋アセンブリ105の一実施形態は、蓋プレート112と、第1の上部マニホルド113と、第2の下部マニホルド114と、蓋リング115とを含んでいる。蓋プレート112は、上部マニホルド113および下部マニホルド114を介して処理領域106と流体連通している通路117および202などの2つの横方向導管を含んでいる。通路117、202は、蓋プレート112の異なる平面において放射状に配列されてもよい。蓋プレート112は、加工、鋳造、成形、ロウ付け、溶接またはこれらの組み合わせなどの任意の適切な手段によって形成可能である。通路117および202は、ドリル削りおよびミル削りを含む任意の従来の手段によって蓋プレート112に形成されてもよく、一実施形態では、相互に約45度ずらされ、かつ上下に間隔をあけられる。一実施形態では、通路117および202はガンドリルを使用して形成される。
[0036]蓋プレート112は、アルミニウム、ステンレス鋼、ニッケル、これらの合金や組み合わせなどの材料やセラミック材料から形成されてもよい。一実施形態では、通路117および202がドリル削りされると、通路117、202の開放端部がプラグ118および203によってシールされる。プラグ118、203は、上記のような金属、セラミック、あるいは有機または無機ポリマー材料から形成されてもよい。プラグ118、203は通常、蓋プレート112の材料と類似の膨張係数を有する材料から構成される。鋳造、溶接またはロウ付けなどの、蓋プレート112に入口およびマニホルドを形成するための他の方法は、ガス漏れを防止するためにプラグを必要としないこともある。
[0037]一実施形態では、蓋プレート112は、バルブ107A、107Bに流体結合された2つのガス投入口116および200を含んでおり、このバルブを介して、ガスや蒸気であってもよい2つの流体がチャンバ100に導入される。投入口116および200は、それぞれ処理領域106と流体連通している通路117および202に接続されている。したがって、ソースFからのガスは、投入口116に結合されたバルブ107Aを介して通路117へ通過する。ソースFからのガスは次いで通路117から開口220Aに通過し、上部マニホルド113に形成されたチャネル119に流入する。ソースFからのガスは、投入口200に結合されたバルブ107Bを介して通路202に通過する。ソースFからのガスは次いで通路202から開口220Bに通過して、上部マニホルド113に形成されたチャネル204に流入し、またソースFおよびFからのガスが上部マニホルド113に達すると、これらのガスは2つの別個の流路に分離されたままである。
[0038]一実施形態では、上部ガスチャネル119および204は、上部マニホルド113において円形チャネルのパターンで配列される。円形チャネル119、204は、詳細に後述されるように、ホール205Aおよび205Bを介して下部マニホルドに結合される。
[0039]図3Aは、上部マニホルド113の一実施形態の平面図である。上部マニホルド113は、上部ガスチャネル119と204間に配置され、かつこれらから隔離されている複数の流体チャネル301を含んでいる。上部マニホルド113はまた複数の外部流体チャネル302を含む。流体チャネル301、302の各々は、熱制御流体をこの中に流すための導管を提供することによって、上部マニホルド113の熱制御の向上を提供することができる。熱制御流体は液体またはガスであってもよい。使用してもよい液体は、脱イオン水などの水、油、アルコール、グリコール、グリコールエーテル、他の有機溶媒、超臨界流体(例えば、CO)、これらの誘導体またはこれらの混合物を含む。ガスは、窒素、アルゴン、空気、ハイドロフルオロカーボン(HFC)またはこれらの組み合わせであってもよい。熱制御流体は、蓋プレート112に形成されたポート401、402(図3B、3Cおよび4A)を介して上部マニホルド113を出入りする。上部マニホルド113は、アルミニウム、ステンレス鋼、セラミック材料、またはこれらの組み合わせなどのプロセス抵抗性および/または化学適合性材料から構成される。上部マニホルド113は成形、鋳造、加工、またはこれらの組み合わせが施されてもよい。一実施形態では、蓋プレート112および上部マニホルド113は、ガス送出および熱制御特徴部が一体化された特異プレートを形成するために共にロウ付けされてもよい。一実施形態では、上部マニホルド113および蓋プレート112の整合表面は剪断シールを形成する。これらの表面は、粗研磨や他の適切な技術によって仕上げられてもよい。
[0040]上部ガスチャネル119、204および流体チャネル301、302の各々は、これに結合されると、蓋プレート112の下部表面によってシールされるように適合された側面を有する導管を画成する。上部ガスチャネル119、204および流体チャネル301、302は、丸みコーナーを有するU形状、略正方形コーナーを有するU形状またはこれらの組み合わせを含む断面形状を有してもよい。上部ガスマニホルド113の特定の部分において、上部ガスチャネル119、204の各々は流体チャネル301によって分離される。上部ガスチャネル119、204と流体チャネル301間の環状壁は、それぞれのガスおよび流体の個別流路を提供する。上部ガスチャネル119、204および流体チャネル301、302は、熱制御流体とガス間の汚染を防止するために、蓋プレート112が上部マニホルド113に結合される場合に分離およびシールされる。
[0041]流体チャネル301、302およびガスチャネル119、204の構成は、示されているような数量および構成に制限されない。より多数または少数の流体チャネル301、302およびガスチャネル119、204が使用可能であり、また流体チャネル301、302およびガスチャネル119、204の形状は、任意の所望の形状で上部マニホルド113に形成されてもよい。例えば、使用してもよい内部チャネル301のほうが多数であり、外部チャネル302のほうが少数の場合もあり、あるいは逆もまた可能である。他の実施形態は、完全な円などの、異なる断面形状のチャネルを有してもよい。さらに他の実施形態は、蓋プレート112および/または上部マニホルド113の一方内に形成された複数のチャネル層などのより垂直な構成を含んでもよい。
[0042]図3Bは、ガスチャネル119と関連した通路117の位置を示す、上部マニホルド113の概略平面図である。本実施形態では、流体チャネル301、302は仮想的に示されており、ガスチャネル204は、明確にするために示されていない。上記のように、通路117の開口220Aはガスチャネル119と流体連通している。下部マニホルド114と流体連通しているホール205Aは、開口220Aから約45度ずらされて位置決めされる。このように、ソースFからのガスは、ソースFからのガスおよび熱制御流体と混合せずに、バルブ107Aを介して導入され、ガスチャネル119を流れ、下部マニホルド114に送出されてもよい。より多数または少数のホール205Aは、ガスチャネル119内の異なる放射状位置および/または異なる角度オフセットで追加されることもあるため、この実施形態はホール205Aの数量および位置決めに制限されない。
[0043]図3Cは、ガスチャネル204と関連した通路202の位置を示す、上部マニホルド113の概略平面図である。本実施形態では、流体チャネル301、302は仮想的に示されており、ガスチャネル119は、明確にするために示されていない。上記のように、通路202の開口220Bはガスチャネル204と流体連通している。下部マニホルド114と流体連通しているホール205Bは、開口220Bから45度ずらされて位置決めされる。このように、ソースFからのガスは、Fからのガスおよび熱制御流体と混合せずに、バルブ107Bを介して導入され、ガスチャネル204を流れ、下部マニホルド114に送出されてもよい。より多数または少数のホール205Bは、ガスチャネル204内の異なる放射状位置および/または異なる角度オフセットで追加されることもあるため、この実施形態はホール205Bの数量および位置決めに制限されない。
[0044]図4Aは、蓋アセンブリ105の一実施形態の分解等尺図である。下部マニホルド114は、この構成ネスト化リング121および206に分解されたものとして示されており、これらは、組み立てられると、下部マニホルド114を形成する。一実施形態では、リング121、206は、Oリングやガスケットなどを使用せずにシールするために精密に製造される。一実施形態では、リング121、206は、接触している内外直径の位置間に剪断シールを形成する。リング121、206は粗研磨によって形成されてもよく、また各リング121、206の内外直径は許容範囲に保持され、この場合材料間のシールは、リングが接触するポイントに形成される。材料間シールは、リング121、206間に画成されたガス通路間の漏洩を防止および/または最小化する略気密シールを提供する。
[0045]リング121および206におけるアパーチャー123および207は、それぞれ開口205A、205Bを介して上部マニホルド113のチャネル119および204と流体連通している。したがって、蓋プレート112の投入口116および200からのガスは上部マニホルド113を介して下部マニホルド114に流れる。ソースFおよびFからのガスは、それぞれ蓋112の投入口116および200を流れる。熱冷却流体は、蓋プレート112のポータル401および402を流れる。種々のコンポーネントにおける開口および通路の配列は、異なる実施形態を作成するための多数の方法で変更されてもよい。例えば、より多数の開口が任意の幾何学的に最適なパターンで提供されてもよい。同様に、開口は、図面に示されているように整列されてもよく、あるいはこれらは交互にされてもよい。開口はまた、装置全体の流れおよび圧力分布を最適化するようにサイズ設定されてもよい。
[0046]図4Bは、構造がリング121に類似しているリング206の一実施形態の部分的概略断面図である。この実施形態では、リング206は、内外直径に沿って精密に研削され、粗研磨され、または研磨されて、下部マニホルド114を介するガス漏洩を最小化および/または防止するために整合リングによる剪断シールを作成する。リング206は、リングの周縁に出っ張りを形成する延長上部408を有する。アパーチャー207は上部408を介して形成される。上部408は、リングの中心線411を基準に測定された第1の外半径403および第1の内半径405によって画成される。リング206はまた、第2の外半径407および第2の内半径404によって画成された底部を含む。各リング206はまた、第3の外半径406によって画成されたギャップ208を含む。第1の内半径405と第2の内半径406の差異は、ショルダー410がリング206の内部に画成されるようにする。リング206に関して上述された半径は、リング121および206の異なる実施形態を形成するために変更されてもよい。
[0047]リング121および206は、熱膨張係数が低く、1000度を超える温度に耐える硬質材料から形成されてもよい。この材料は、シリコンカーバイド、シリコングラファイト、サファイア、石英、セラミック材料などの硬質材料や他の硬質材料であってもよい。
[0048]図4Bに説明されている実施形態の延長として、各リングは第1の外径および第2の外径を備え、これらの間に第3の外径を有しており、この第3の外径はギャップ208である。各リングはまた、第1の内径および第2の内径を備えており、ショルダー410を形成する。詳細に後述されるように、一方のリングの第1の外径は、もう一方のリングの第1の内径を押圧するか、これとスリップ嵌合するように適合されている。
[0049]リング121、206は、共に嵌合されて下部マニホルド114を形成するように適合されており、一方のリングの延長上部408はもう一方のリングのショルダーエリア410に当接する。一実施形態では、中心線411から測定された、一方のリングの第1の外半径403は、もう一方のリングの第1の内半径405よりわずかに短く、構成リングの直径はプレス嵌合を可能にする。第1の内径と第2の内径の差異、およびリングの表面仕上げは材料間シールを可能にして、隣接リング間の略気密シールを生成する。
[0050]リング121、206が共に順次嵌合されると、一方のリングの第2の外半径407と隣接リングの第2の内半径406の差異は環状溝501(図5)を形成する。環状溝501の幅は概して、約0.010ミル〜約0.060ミル、例えば約0.030ミルである。環状溝501はギャップ208と流体連通しており、これは、アパーチャー207を介して上部マニホルド113と連通している。
[0051]図5は、蓋アセンブリ105の詳細断面図を示している。リング121および206の形状は、上記のような環状ギャップ122および208を含むように形成されている。環状ギャップ122および208は環状溝501と流体連通しており、これは処理領域106と流体連通している。この実施形態では、下部マニホルド114は蓋プレート115と結合し、これはさらなる水導管505および閉じ込めリング506を備えている。蓋アセンブリは、示されている場所において、Oリング507を使用して周縁および種々の内側部分付近でシールされる。
[0052]一実施形態では、環状溝501は環状ノズル502で終端する。一部の実施形態では、環状ノズル502の形状は、処理容積106内のガスの具体的な拡散パターンを作成するように設計されてもよい。断面形状が略三角形または台形の、この拡散パターンは、分離ゾーン503および混合ゾーン504を作成し、ソースFおよびFからの別個のガスGおよびGは、混合ゾーン504に達するまでは混合されない。これは処理容積106内の反応種の制御向上を可能にし、基板104以外の表面上の不要な堆積を排除または最小化可能である。ノズル502の側壁は、約15度〜約90度、例えば約50度〜約70度、例えば約60度に角度付けされてもよい。一実施形態では、ノズル502の表面は、拡散パターンの流れ属性および/または形状を変化させて流れ特徴を向上させるように修正されてもよい。一態様では、表面は、より層流の流れを容易にするために粗化されてもよい。別の態様では、表面は、より高速かつ乱れたガス流を提供するように平滑化され、粗化されなくてもよい。例えば、ノズル502は、ビーズ、アイスまたはグリットブラストされた表面を含んでもよい。
[0053]動作中、ソースFからのガスは、投入口116に結合されたバルブ107Aを介して通路117に通過する。ソースFからのガスは次いで、上部マニホルド113に導入するために、通路117から開口220Aに通過する。ソースFからのガスは、投入口200に結合されたバルブ107Bを介して通路202に通過する。ソースFからのガスは次いで、上部マニホルド113に導入するために通路202から開口220Bに通過して、ソースFおよびFからのガスが上部マニホルド113に達すると、ガスは2つの個別流路に隔離されたままである。ソースFおよびFからのガスは、それぞれ上部ガスチャネル119および204を介して上部マニホルド113に入る。下部マニホルド114と流体連通しているホール205A、205Bは、ソースFおよびFからのガスを、それぞれ下部マニホルド114(207はこの図面では示されていない)に形成されたアパーチャー123、207に流されるようにする。アパーチャー123および207(図示せず)はそれぞれ環状ギャップ122および208と流体連通しており、これらは環状溝501と連通している。ソースFおよびFからのガスは環状溝501を流れ、環状ノズル502によって処理領域106に送出される。このように、ソースFおよびFからのガスは、混合ゾーン504に達するまで混合されない。
[0054]本明細書に説明された実施形態は、基板の表面に達するまで混合せずに、処理領域に2つの別個のガスを送出することができる。ここに提供された熱制御態様は、処理領域に提供された種々のガスの温度制御を可能にする。これは、堆積、エッチングプロセスなどの、チャンバ内でのプロセスの制御向上を提供する。例えば、ガス混合は、処理領域における反応が向上されるように制御されてもよい。チャンバコンポーネント上の不要な堆積や粒子生成は最小化可能である。これは、粒子を削減し、かつチャンバ洗浄のダウンタイムを最小化することによってスループットを増大させる。
[0055]上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態も、本発明の基本範囲から逸脱することなく考案可能であり、また本発明の範囲は以下の特許請求の範囲によって判断される。
100…処理チャンバ、101…内部容積、102…基板サポート、103…開口、104…基板、105…蓋アセンブリ、106…処理領域、107A、107B…バルブ、109…シャドウウィング、111…真空ポータル、112…蓋プレート、113…上部マニホルド、114…下部マニホルド、115…蓋リング、116、200…投入口、117、202…通路、118、203…プラグ、119、204…ガスチャネル、121、206…リング、122、208…環状ギャップ、123、207…アパーチャー、124…環状真空チャネル、205A、205B…ホール、208…ギャップ、301、302…流体チャネル、401、402…ポート、403…第1の外半径、405…第1の内半径、406…第2の内半径、407…第2の外半径、408…上部、410…ショルダー、411…中心線、501…環状溝、502…環状ノズル、503…分離ゾーン、504…混合ゾーン、507…Oリング

Claims (20)

  1. 処理チャンバ用の蓋アセンブリであって、
    流体隔離された第1および第2の流路をこの中に画成している上部マニホルドと、
    前記上部マニホルドに結合された上部と、それぞれ前記第1の流路に流体結合された第1の複数の出口、および前記第2の流路に流体結合された第2の複数の出口を有する底部とを具備する下部マニホルドであって、材料間シールを形成するように適合された接合リングの外部表面とシール接触している内部表面を有する複数の同心リングを備える下部マニホルドと、
    を備える蓋アセンブリ。
  2. 前記上部マニホルドが複数の円形チャネルをこの中に形成しており、前記複数の円形チャネルの第1の部分が、前記複数の同心リング間に形成されたそれぞれのギャップと流体連通している、請求項1に記載の蓋アセンブリ。
  3. 前記上部マニホルドが複数の流体チャネルを有する、請求項1に記載の蓋アセンブリ。
  4. 2つ以上のガスを前記複数の同心リングに流体結合させるための、複数の開口をこの中に形成している蓋プレートをさらに備える、請求項1に記載の蓋アセンブリ。
  5. 前記蓋プレートが前記上部マニホルドにロウ付けされる、請求項4に記載の蓋アセンブリ。
  6. 前記複数の出口が環状通路を備える、請求項1に記載の蓋アセンブリ。
  7. 前記複数の出口が角度付き縁部を有する、請求項1に記載の蓋アセンブリ。
  8. 複数の流体隔離チャネルをこの中に部分的に形成している上部マニホルドと、
    前記上部マニホルドに結合された下部マニホルドであって、前記複数の流体隔離チャネルの第1の部分が、前記下部マニホルドと流体連通している第1および第2のガスチャネルを含んでおり、前記複数の流体隔離チャネルの第2の部分が複数の熱制御チャネルを含む下部マニホルドと、
    を備える、処理チャンバ用の蓋アセンブリ。
  9. 前記上部マニホルドが、前記複数のチャネルの前記第1の部分と連通して複数の放射状通路をこの中に形成している蓋プレートに結合する、請求項8に記載の蓋アセンブリ。
  10. 前記第1および第2のガスチャネルの各々が、前記複数の熱制御チャネルのうちの1つによって分離される、請求項8に記載の蓋アセンブリ。
  11. 前記下部マニホルドが、前記第1のガスチャネルと流体連通している第1の複数の環状溝と、前記第2のガスチャネルと流体連通している第2の複数の環状溝とをさらに有しており、前記第1および第2の複数の環状溝が相互に流体隔離されている、請求項8に記載の蓋アセンブリ。
  12. 前記第1および第2の複数の環状溝の各々が、前記下部マニホルドの下部表面に隣接する処理ゾーンにガスストリームを方向付けるように角度付けされたノズルを備える、請求項11に記載の蓋アセンブリ。
  13. 前記第1および第2のガスチャネルの各々が、前記チャネル内で均等に間隔をあけられた複数の開口を有しており、前記開口が前記下部マニホルドに流体結合される、請求項8に記載の蓋アセンブリ。
  14. 前記第1および第2のガスチャネルの各々が、前記チャネル内に90度に間隔をあけられた複数の開口を有しており、前記開口が前記下部マニホルドに流体結合される、請求項8に記載の蓋アセンブリ。
  15. 処理チャンバにプロセス流体を送出するための装置であって、
    上部および底部を具備するマニホルドアセンブリであって、前記上部が複数の流体隔離円形チャネルを部分的にこの上に形成しており、前記底部が環状出口をこの中に形成しているマニホルドアセンブリと、
    上部、底部および縁部を有する蓋プレートであって、前記蓋プレートの前記底部が前記マニホルドアセンブリの前記上部に結合されており、前記蓋プレートが少なくとも2つのガス通路および複数の熱制御流体通路を、前記複数の円形チャネルと流体連通してこの中に形成している蓋プレートと、
    を備える装置。
  16. 前記少なくとも2つのガス通路が放射状に配向される、請求項15に記載の装置。
  17. 前記少なくとも2つのガス通路の各々が、前記複数の流体隔離円形チャネルの第1の部分と流体連通した複数の開口を有する、請求項15に記載の装置。
  18. 前記複数の熱制御流体通路の各々が、前記複数の流体隔離円形チャネルの第2の部分の1つと流体連通している、請求項15に記載の装置。
  19. 前記蓋プレートが、ガスラインおよび熱制御流体ラインを取り付けるための複数のホールを有する、請求項15に記載の装置。
  20. 前記複数の熱制御流体通路の第1の部分が前記蓋プレートの前記上部に形成され、前記複数の熱制御流体通路の第2の部分が前記蓋プレートの前記縁部に形成される、請求項15に記載の装置。
JP2009534754A 2006-10-26 2007-10-10 温度制御マルチガス分配アセンブリ Withdrawn JP2010508660A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/553,340 US20080099147A1 (en) 2006-10-26 2006-10-26 Temperature controlled multi-gas distribution assembly
PCT/US2007/080966 WO2008051717A1 (en) 2006-10-26 2007-10-10 Temperature controlled multi-gas distribution assembly

Publications (1)

Publication Number Publication Date
JP2010508660A true JP2010508660A (ja) 2010-03-18

Family

ID=39324919

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009534754A Withdrawn JP2010508660A (ja) 2006-10-26 2007-10-10 温度制御マルチガス分配アセンブリ

Country Status (7)

Country Link
US (1) US20080099147A1 (ja)
EP (1) EP2084735B1 (ja)
JP (1) JP2010508660A (ja)
KR (1) KR20090080533A (ja)
CN (1) CN101536161B (ja)
TW (1) TWI391997B (ja)
WO (1) WO2008051717A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013239482A (ja) * 2012-05-11 2013-11-28 Tokyo Electron Ltd ガス供給装置及び基板処理装置
JP2013239707A (ja) * 2012-05-11 2013-11-28 Advanced Micro Fabrication Equipment Inc Shanghai ガスシャワーヘッド、その製造方法及び薄膜成長反応装置
JP2015161030A (ja) * 2014-02-25 2015-09-07 エーエスエム アイピー ホールディング ビー.ブイ. ガス供給マニホールド及びガス供給マニホールドを使用してチャンバにガスを供給する方法
JP2015529984A (ja) * 2012-09-21 2015-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハ処理機器の化学制御機構
JP2020205431A (ja) * 2016-05-19 2020-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良された半導体エッチングおよび部品保護のためのシステムおよび方法
JP7541305B1 (ja) 2023-02-20 2024-08-28 ダイキン工業株式会社 原子層堆積法による成膜方法

Families Citing this family (422)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
DE112008000169T5 (de) * 2007-01-12 2010-01-14 Veeco Instruments Inc. Gasbehandlungssysteme
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
KR101639230B1 (ko) 2008-12-04 2016-07-13 비코 인스트루먼츠 인코포레이티드 화학 기상 증착 유동물 유입구 부재 및 방법
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011009002A2 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
US20110030615A1 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014191624A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate holder and arrangement for holding substrates
WO2014191623A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate holder, arrangement and substrate carrier for supporting substrates
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106676499B (zh) * 2015-11-06 2020-07-03 中微半导体设备(上海)股份有限公司 一种mocvd气体喷淋头预处理方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6718730B2 (ja) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置及び気相成長方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR20180111305A (ko) * 2017-03-31 2018-10-11 에스케이하이닉스 주식회사 다층 배선 구조를 가지는 반도체 소자 및 이의 제조 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107564792B (zh) * 2017-08-17 2019-12-13 沈阳拓荆科技有限公司 一种用于等离子体处理设备的rf讯号传递装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP2019145589A (ja) * 2018-02-16 2019-08-29 東芝メモリ株式会社 半導体装置の製造方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7012563B2 (ja) * 2018-03-05 2022-01-28 東京エレクトロン株式会社 成膜方法および成膜装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11932941B1 (en) * 2021-12-29 2024-03-19 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
US12078417B1 (en) 2021-12-29 2024-09-03 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
US12000046B1 (en) 2021-12-29 2024-06-04 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4437831A (en) * 1980-10-27 1984-03-20 Aero Environmental Limited Burner head
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
US6299692B1 (en) * 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US6367687B1 (en) * 2001-04-17 2002-04-09 General Electric Company Method for preparing a plate rim for brazing
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
CN100466162C (zh) * 2003-12-15 2009-03-04 应用材料有限公司 用于改进cvd膜性能的边流面板
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US7067422B2 (en) * 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013239482A (ja) * 2012-05-11 2013-11-28 Tokyo Electron Ltd ガス供給装置及び基板処理装置
JP2013239707A (ja) * 2012-05-11 2013-11-28 Advanced Micro Fabrication Equipment Inc Shanghai ガスシャワーヘッド、その製造方法及び薄膜成長反応装置
US9534724B2 (en) 2012-05-11 2017-01-03 Advanced Micro-Fabrication Equipment Inc, Shanghai Gas showerhead, method for making the same and thin film growth reactor
JP2015529984A (ja) * 2012-09-21 2015-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハ処理機器の化学制御機構
JP2018117136A (ja) * 2012-09-21 2018-07-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハ処理機器の化学制御機構
JP2015161030A (ja) * 2014-02-25 2015-09-07 エーエスエム アイピー ホールディング ビー.ブイ. ガス供給マニホールド及びガス供給マニホールドを使用してチャンバにガスを供給する方法
JP2020205431A (ja) * 2016-05-19 2020-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良された半導体エッチングおよび部品保護のためのシステムおよび方法
JP7066792B2 (ja) 2016-05-19 2022-05-13 アプライド マテリアルズ インコーポレイテッド 改良された半導体エッチングおよび部品保護のためのシステムおよび方法
JP7541305B1 (ja) 2023-02-20 2024-08-28 ダイキン工業株式会社 原子層堆積法による成膜方法
WO2024177008A1 (ja) * 2023-02-20 2024-08-29 ダイキン工業株式会社 原子層堆積法による成膜方法

Also Published As

Publication number Publication date
TW200828419A (en) 2008-07-01
EP2084735A4 (en) 2012-10-31
CN101536161A (zh) 2009-09-16
CN101536161B (zh) 2011-04-27
EP2084735A1 (en) 2009-08-05
KR20090080533A (ko) 2009-07-24
EP2084735B1 (en) 2014-06-18
US20080099147A1 (en) 2008-05-01
TWI391997B (zh) 2013-04-01
WO2008051717A1 (en) 2008-05-02

Similar Documents

Publication Publication Date Title
EP2084735B1 (en) Temperature controlled multi-gas distribution assembly
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
EP2545197B1 (en) Atomic layer deposition chamber with multi inject
US7175713B2 (en) Apparatus for cyclical deposition of thin films
US6878206B2 (en) Lid assembly for a processing system to facilitate sequential deposition techniques
US6586343B1 (en) Method and apparatus for directing constituents through a processing chamber
US8821637B2 (en) Temperature controlled lid assembly for tungsten nitride deposition
JP4889173B2 (ja) 半導体ウエハ上に窒化珪素層を形成するための方法
JP7401560B2 (ja) 裏側ポンピングを用いた熱処理チャンバのリッド
US20160097119A1 (en) Atomic layer deposition chamber with thermal lid
KR20140047558A (ko) 반도체 반응 챔버 샤워헤드
KR20040042892A (ko) 혼합 화학 프로세스를 위한 장치 및 방법
KR20180123588A (ko) 반도체 처리 챔버
KR20190072266A (ko) 소스 가스 공급 장치 및 이를 구비하는 증착 장치

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20110104