JP2010192919A - 半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法 - Google Patents

半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法 Download PDF

Info

Publication number
JP2010192919A
JP2010192919A JP2010091082A JP2010091082A JP2010192919A JP 2010192919 A JP2010192919 A JP 2010192919A JP 2010091082 A JP2010091082 A JP 2010091082A JP 2010091082 A JP2010091082 A JP 2010091082A JP 2010192919 A JP2010192919 A JP 2010192919A
Authority
JP
Japan
Prior art keywords
oxide
protective film
sti
active region
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010091082A
Other languages
English (en)
Other versions
JP5448085B2 (ja
Inventor
Omer H Dokumaci
ドクマシ、オマー、エイチ
Bruce B Doris
ドリス、ブルース、ビー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2010192919A publication Critical patent/JP2010192919A/ja
Application granted granted Critical
Publication of JP5448085B2 publication Critical patent/JP5448085B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Element Separation (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】酸化物エッチング・プロセス中にシャロー・トレンチ・アイソレーション(STI)を保護する方法を提供する。
【解決手段】半導体シャロー・トレンチ・アイソレーション(STI)酸化物4をエッチングから保護する方法であって、必要に応じて前記STI酸化物4の上面を、隣接するシリコン活性領域3の上面よりも低い高さに下げるステップと、前記STI酸化物4の上方に凹部を画定するのに有効な手法で、前記STI酸化物4および隣接するシリコン活性領域3上に窒化物ライナ5を堆積するステップと、前記凹部に保護膜6を充填するステップと、前記窒化物ライナを前記隣接する活性領域から除去するステップとを含む方法。
【選択図】図6

Description

本発明は、半導体デバイスの製造に関し、詳細には、エピタキシャル前洗浄中に単一のトレンチ・アイソレーション酸化物がエッチングされるのを防止する方法と、それによって形成された半導体構造に関する。
レイズド・ソース・ドレインを適用するための選択的エピタキシャル前洗浄中にシャロー・トレンチ・アイソレーション(STI)酸化物がエッチングされると、シリコン・オン・インシュレータ(SOI)およびその他の技術に問題が生じる。エピタキシャル成長の前にSi表面を洗浄にすることは、エピタキシャル層の成長の質に不可欠である。これは、デバイス性能がエピタキシャル膜の質に大きく左右されるため、重要なことである。一般に、洗浄プロセスは、表面の汚染物質を取り除くことを必要とし、また、水素終端によりSi表面のパッシベーションを行って、エピタキシャル成長前に汚染物質が表面に吸着しないようにすることを必要とする。シリサイド前洗浄も絶対的な要件である。欠陥のない高品質のシリサイドを生成するために、Si表面を洗浄にし、またSi表面の水素パッシベーションを行うことも必要である。標準的な洗浄および水素パッシベーションを行うための化学薬品には、フッ化水素酸(HF)が含まれるが、これはSiを洗浄にする他にも、望ましくないSTIのエッチングを引き起こす。エピタキシャル成長またはシリサイド形成にとって適切にSi表面を洗浄するために、STIを過剰にエッチングする。これは、薄いSi SOIを適用する場合に特に問題になる。その理由は、STIの厚さがSiの厚さに正比例し、したがって薄いSi SOIの場合は薄くなるからである。エピタキシャル成長前洗浄またはシリサイド前洗浄あるいはその両方を行う間に、STI全体がエッチングされる可能性がある。STIがなくなった後、埋込み酸化膜(BOX)層のエッチングが始まる。BOXは活性領域の直下に位置付けられ、したがってBOXがエッチング除去されるにつれて活性領域のアンダーカットが生じる可能性がある。アンダーカットが過剰であると、活性領域が完全に剥離され、それによってデバイスが破壊される。
シロ(Shiro)他、米国特許第5,674,657号 トーマス(Thomas)他、米国特許第6,207,787号 ダラクチーブ・アイ・エス(Daraktchiev, I. S.)、US4,996,080 ペイブリック(Pavelick)他、US6,190,939B1
従来のSTIは、初めにSi基板上にパッド酸化物層を形成し、次いでSiNを堆積し、リソグラフィおよびエッチングによってパターニングする。SiNは、Siにトレンチをエッチングするハード・マスクとして使用し、次いで熱酸化によってSiOライナを形成する。最後にSTI酸化物を堆積し、化学的機械的研磨(CMP)を使用して、シャロー・トレンチの外側の領域から酸化シリコンを除去する。エピタキシャル前洗浄またはシリサイド前洗浄の間にSTIが過剰にエッチングされる可能性をなくすため、その1つの解決策として、酸化物ライナの形成後でありかつSTI充填堆積の前に、トレンチ内に保護窒化物ライナを堆積する。この方法には、主に2つの欠点がある。第1の問題は、パッド窒化物層の除去中に窒化物ライナの上部がエッチングされることであり、その結果、活性領域に隣接して、削り取られた後の窪みが生じる。この窪みには、その後、ゲート・ポリシリコン堆積中にポリシリコンが充填され、その窪み内のポリシリコンによって、隣接するゲート間に望ましくない電気接続が生じる可能性があり、いわゆる「ラップアラウンド」ゲートも生成される。ラップアラウンド・ゲートによって閾値電圧が低下し、早過ぎるうちにトランジスタを活動化させる。第2の問題は、窒化物層が、その最上部にあるどのSTI酸化物も保護できないことである。その上部にあるSTI酸化物のすべてがエッチング除去される可能性があり、それによって平面性が損なわれる。したがって、酸化物エッチング・プロセス中にシャロー・トレンチ・アイソレーション(STI)を保護する方法が求められている。
半導体シャロー・トレンチ・アイソレーション(STI)酸化物をエッチングから保護する方法であって、必要に応じて前記STI酸化物の上面を、隣接するシリコン活性領域の上面よりも低い高さに下げるステップと、前記STI酸化物の上方に凹部を画定するのに有効な手法で、前記STI酸化物および隣接するシリコン活性領域上に窒化物ライナを堆積するステップと、前記凹部に保護膜を充填するステップと、前記窒化物ライナを前記隣接する活性領域から除去するステップとを含む方法を開示する。
本発明の別の態様では、窒化物ライナの前記堆積が、化学気相成長法によって行われる。
本発明の別の態様では、前記化学気相成長法が、低圧化学気相成長法、急速熱処理化学気相成長法、プラズマ増速化学気相成長法、または高密度プラズマ化学気相成長法から選択される1つである。
本発明の別の態様では、前記化学気相成長法が、シラン誘導体をアンモニアと反応させるステップをさらに含む。
本発明の別の態様では、前記保護膜が有機ポリマーである。
本発明の別の態様では、前記有機ポリマーが平坦化ポリマーである。
本発明の別の態様では、前記平坦化保護ポリマーが反射防止膜ポリマーである。
本発明の別の態様では、前記反射防止膜ポリマーが、アクリレートおよびメタクリレートの混合物と、ポリ尿素およびポリスルホンポリマーの混合物と、ベンゾフェノンおよびビスフェノールAのコポリマーとから選択された1つである。
本発明の別の態様では、前記平坦化保護ポリマーがフォトレジストポリマーである。
本発明の別の態様では、前記フォトレジストポリマーがノボラック樹脂である。
本発明の別の態様では、前記保護膜がスピンオン酸化物である。
本発明の別の態様では、前記保護膜が共形的であり、化学的機械研磨によって平坦化される。
本発明の別の態様では、前記凹部に保護膜を充填する前記ステップが、前記窒化物層上に前記保護膜の層を堆積すること、前記保護膜が前記凹部内にのみ残るように、前記保護膜を窪ませることを含む。
本発明の別の態様では、前記保護膜が有機ポリマーであり、前記窪ませることがプラズマ・エッチングによって行われる。
本発明の別の態様では、前記保護膜が前記凹部から除去される。
本発明の別の態様では、前記保護膜が反応性イオン・エッチングによって前記凹部から除去される。
半導体シャロー・トレンチ・アイソレーション(STI)酸化物をエッチングから保護する方法であって、必要に応じて前記STI酸化物の上面を、隣接するシリコン活性領域の上面よりも低い高さに下げるステップと、化学的気相成長法を実行して、前記STI酸化物の上方に凹部を画定するのに有効な手法で、前記STI酸化物および隣接するシリコン活性領域上に共形的窒化物ライナを堆積するステップと、前記窒化物ライナを、有機ポリマーを含む保護膜で覆うステップと、前記保護膜が前記凹部内にのみ残るように、前記保護膜をプラズマ・エッチングによって窪ませるステップと、前記窒化物層を反応性イオン・エッチングによって前記隣接する活性領域から除去するステップと、前記保護膜を、プラズマ・エッチングによって前記凹部から除去するステップとを含む方法を開示する。
1つまたは複数のシャロー・トレンチ・アイソレーションによって分離された複数の活性領域を含む半導体構造であって、前記シャロー・トレンチ・アイソレーションのみが窒化シリコンの保護層によって覆われる構造を開示する。
1つまたは複数のシャロー・トレンチ・アイソレーションによって分離された複数の活性領域を含む半導体構造であって、前記活性領域およびシャロー・トレンチ・アイソレーションが窒化シリコン層によって覆われ、前記窒化シリコン層が、前記シャロー・トレンチ・アイソレーション上に凹部を含み、前記凹部内には保護膜が堆積されている構造を開示する。
半導体シャロー・トレンチ・アイソレーション(STI)酸化物をエッチングから保護する方法であって、必要に応じて前記STI酸化物の上面を、隣接するシリコン活性領域の上面よりも低い高さに下げるための手段を提供するステップと、前記STI酸化物の上方に凹部を画定するのに有効な手法で、前記STI酸化物および隣接するシリコン活性領域上に窒化物ライナを堆積するための手段を提供するステップと、前記凹部に保護膜を充填するための手段を提供するステップと、前記窒化物層を前記隣接する活性領域から除去するための手段を提供するステップとを含む方法を開示する。
典型的な、開始時のSTI構造を示す図である。 酸化物エッチング・プロセスの結果を示す図である。 窒化物堆積の結果を示す図である。 保護平坦化膜の堆積を示す図である。 保護平坦化膜の部分エッチングの結果を示す図である。 エッチング操作の結果を示す図である。 平坦化膜を除去した結果を示す図である。
図1を参照すると、典型的な開始時の構造、すなわちシリコン・ウェハ1の断面図が示されており、任意の適切な半導体材料(たとえばプレーンなシリコンなど)とすることができるが、通常は埋込み酸化膜(BOX)2と、絶縁酸化物4が充填される1つまたは複数のシャロー・トレンチを画定する活性領域3構造とを含み、それによって、トレンチの両側にある活性領域3が互いに分離される。
図2を参照すると、絶縁酸化物4がまだ隣接する活性領域3の高さよりも下にない場合は、図面に示すように、その活性領域よりも低い高さにまでSTI酸化物をエッチングすることが望ましい。この目的は、窒化物ライナを堆積した後に、STI酸化物上に凹部を画定することである。
ポリシリコンに対して選択性のあるHFエッチングやドライ・プラズマ・プロセスなど、隣接する活性領域に害のない任意のエッチング方法で十分である。ポリシリコンよりも速い速度で酸化シリコンをエッチングするためのドライ・エッチング・プラズマ・プロセスでの使用に適する気体には、CF−OとCF−Hの混合物が含まれ、この混合物中のCFの割合は一般に80%以下であり、より好ましくは60%以下であり、最も好ましくは約50%±10%である。Cなどの実質的に純粋なフルオロカーボン・プラズマを利用してもよい。
一般にプラズマ・エッチング・プロセスは、プラズマ増速(PE)または反応性イオン・エッチングのどちらか、またはその両方の能力を持つ、エッチング・チャンバ内で実施する。PEタイプのエッチングは、一般に100トルよりも高い圧力で行われ、一方RIEエッチングは、一般にその圧力よりも低い圧力で行われる。エッチングは、バレル・エッチャーや平行電極エッチャー、6極管エッチャーなどの、バッチ・チャンバ内で行うことができる。一般に直径約200mm以上の大型ウェハは、枚葉式エッチャーでうまく行うことができる。
図3を参照すると、ウェハ上に窒化シリコン・ライナ5が堆積されている。ウェハおよびその表面に堆積した窒化物層上には、任意選択で酸化物層(図示せず)を堆積しまたは成長させることができ、その結果、活性領域のシリコン表面は、窒化物層によって引き起こされる応力から保護される。堆積は、STI酸化物上に凹部を画定するのに有効な手法で行うことが好ましく、したがってその技法は、低位STI酸化物の微細構成に対して共形的であるべきである。
窒化シリコン・ライナを共形的に堆積するための典型的な方法は、低圧化学気相成長法(LPCVD)、急速熱処理化学気相成長法(RTCVD)、およびプラズマ増速化学気相成長法(PECVD)などの化学的気相成長(CVD)技法である。この操作を行うため、高密度プラズマ堆積(HDP−CVD)技法を使用してもよい。
LPCVD窒化シリコン膜は、一般に、約700℃〜800℃の温度でジクロロシラン(SiCl)をアンモニア(NH)と反応させることによって形成することができ、その結果、Si膜とHClおよびHガスが形成される。LPCVD膜は、その膜を均一にし処理コストを比較的低くするのに望ましい。LPCVD堆積速度は、ジクロロシラン濃度(すなわち分圧)のアンモニア濃度に対する比と相関関係にある。LPCVD堆積は、一般に、約0.25〜2.0トルの圧力で、ホットウォール管反応器内で実施する。
LPCVD反応は、一般に、「ホットウォール」管反応器とも呼ばれる水平管LPCVDバッチ反応器内で実施され、その良好な経済性、スループット、均一性、および直径のより大きいウェハを収容する能力にとって望ましい。そのような反応器は、真空生成および加熱手段を備えた、通常は石英である管を備え、その内部を反応性ガスが通過する。管の長さ方向に流下するように、反応性ガスが一端から導入されるような構成の場合は、管の長さに沿って温度勾配が確立されるように、温度ランプ手段を設けることが一般に望ましい。これは、気体流供給源にあるウェハのほうが、反応性ガスの濃度が高くなり、したがって下流のウェハよりも窒化シリコンの堆積速度が速くなるという影響を受けるからである。これは、下流の温度を上昇させて反応速度を速くすることにより、補償することができる。あるいは、反応チャンバの長さに沿って実質的に一様に分布された複数の開口を通して、反応性ガスをチャンバ内に注入することができる。管の長さに沿って反応物質ガスの減少を補う別の方法は、気体流の速度を速くすることである。
RTCVD窒化シリコン膜は、一般に、ジクロロシランとアンモニアとを炉内で反応させることによって形成することができ、この場合、炉内のウェハ温度は約5分以内で1100℃ほどに急速に上昇する。一般に、50℃/秒から約75℃/秒の温度上昇速度はほとんどのスモール・バッチ・ファースト・ランプ(SBFR)炉内で実現され、いくつかの最新モデルでは、150℃/秒ほどの高い速度が実現される。RTCVD法は、数ある利点の中でも、急速な処理を行い、300nm以上の大型のウェハを取り扱うのに望ましい。また、より短い期間にわたってより高い温度を使用することで、望ましくない過渡的増速拡散作用が低減される。
急速熱処理プロセス(RTP)炉は、とりわけアプライド・マテリアル(AppliedMaterials)やエージー・アソシエーツ(AG Associates)などから市販されている。好ましいRTPシステムでは、温度を急速に上昇させまた下降させる間、ウェハの幅方向の温度を均一に維持し、その温度を制御するようにウェハ温度の正確な測定手段を有することになる。典型的なアプライド・マテリアルズRTPは、水冷式反応チャンバと、ハロゲン・ランプなどの加熱ランプ・アレイと、光ファイバ温度プローブとを有する。ハロゲン・ランプは一般にウェハ上方に配列され、その光はライト・ガイドをコリメートすることによって下向きになっており、しばしば石英または溶融シリカガラスの窓によってランプと反応チャンバとが分離されている。このため急速加熱が可能になり、排気がなされるようにチャンバを切り離すことができる。ウェハは、絶縁性の回転ベース上に載置されるが、その回転は、気体流および光のどのようなばらつきも均等にするのに効果的である。高温計は、通常ウェハの下に取り付けられ、ウェハ裏面から放射される温度を定期的に、典型的には秒当たり20回測定し、それによって、ウェハの温度を上昇させかつ冷却する間、精密なコンピュータ制御が可能になる。
PECVD窒化シリコン膜は一般に、無線周波数領域の電磁放射線の存在下、シラン・ガス(SiH)をアンモニア(NH)または窒素(N)ガスと反応させ、それによってポリマー様Si−N−H材料が堆積することにより、形成することができる。反応温度は、典型的な場合、0.2〜0.3トルおよび無線周波数0.3〜13.56MHzで200℃〜400℃になる。2重周波システムは、典型的な場合、高周波(たとえば約13.5MHz)rf信号と低周波(たとえば0.3〜0.5MHz)rf信号を組み合わせて、SiN膜に関連する膜応力を制御する。アンモニア・ガスを使用する場合、アンモニアとシランの比は、典型的な場合、シランに対してアンモニアが約5〜約20部になる。窒素を使用する場合、典型的にはシランに対して100〜1,000部の窒素を使用することになる。一般に、アンモニアを用いて作製されたPECVD膜は、窒素で作製したものよりも良好な共形性を示す。
3タイプのPECVD反応器、すなわち平行板バッチ反応器と、ミニバッチ・ラジアル反応器と、枚葉式反応器が広く使用されている。
平行板バッチ反応器は、その名前が示すように、一組の平行なプレートを有する。この反応器は一般に、垂直シリンダの形をとり、1枚のプレートがその底部にあり、もう1枚のプレートが上部にある。ウェハは底部プレート上に載置し、通常はこのプレートを回転させ加熱して、無線周波信号を電極に印加する。
より一般的なPECVD反応器はミニバッチ・ラジアル反応器であり、これは複数の堆積ステーション含み、各ウェハが平面加熱電極上に載置される。各ウェハ上方のシャワーヘッド様電極から、反応物質ガスを吐出する。これらのタイプの反応器は、反応速度を速くし、汚染の問題を最小限に抑え、かつ均一性を良好にするのに好ましい。均一性は、堆積のすべてを一度に行うのではなく、ウェハを1つのステーションから別のステーションに移動させてさらに堆積を行うことによって実現され、それによって、個々のステーションでのどのような偏差も平均になる。
アプライド・マテリアルズから販売されているような枚葉式PECVD反応器は、一般に複数のチャンバを有し、それぞれは1枚のウェハを収容するようになされている。各チャンバは、上部にウェハを載置するベース電極と、無線周波信号で作動するシャワーヘッド様ガス・ノズル電極とを備えている。加熱は、通常、急速放射加熱をもたらす複数のランプによって行われる。膜応力は、無線周波数または電極間のサイズを調整することによって制御することができる。
HDP−CVD窒化シリコン膜は、一般に、電磁放射線とアルゴン(Ar)やヘリウム(He)などの不活性ガスの存在下、シラン・ガス(SiH)をアンモニア(NH)または窒素(N)ガスと反応させることによって、形成することができる。一般に、反応圧力はかなり低く、一般には10mTorrより低い。HDP−CVD技法は、アスペクト比の高いフィーチャ表面に膜を配置するのに望ましい。
HDP−CVD反応器は、一般にグロー放電を利用して、堆積する材料をスパッタリングするのに十分強力なイオンを生成する。グロー放電は、直流ダイオード型システムまたは無線周波ダイオード・システムのいずれかあるいはその両方によって生成される自続的なプラズマである。アルゴンなどの不活性ガスは、反応物質および不活性ガスをプラズマに電離するのに十分強力な電場で1対の電極間に導入する。直流ダイオード・システムは、窒化シリコンなどの絶縁性材料をスパッタリングすることができず、たいていの適用例においてその堆積速度が遅いので、無線周波ダイオード・システムが好ましい。好ましい無線周波ダイード・システムは、ウェハ表面の近くに電子を閉じ込めるのを助けるように、マグネトロン源を備えている。商用として一般的なシステムには、「Centura」という商標でアプライド・マテリアルズから販売されているものが含まれる。
図4を参照すると、保護膜6が堆積されている。保護平坦化膜は、平坦化した(すなわち、窒化物CVD堆積のが場合のように凹部の微細構成に共形的なのではなく、凹部を満たす)任意の適切なポリマー・プラスチックであることが好ましく、そのために、窒化シリコンに関して選択的なエッチングを行う(すなわち、ポリマーをエッチングするが窒化シリコンはエッチングしない)。市販されかつ経済的な保護ポリマーは、反射防止膜用およびリソグラフィ技法でのフォトレジスト層用として販売されているものであり、これはしばしば「有機スピンオン」ポリマーと呼ばれ、溶媒に溶かしたポリマー樹脂を含むものである。そのような樹脂の分子量は、一般に、ゲル透過クロマトグラフィで測定した場合に数千または数万になるが、数百万であってもよい。ノボラック(Novolak)バインダー樹脂が市販されかつ本発明での使用に役立つが、これはシロ(Shiro)他の米国特許第5,674,657号に開示されており、その教示全体を本明細書に参照により援用する。一般に使用されるフォトレジストは、ニュージャージー州オリン・ハント・スペシャルティ・プロダクツ(OlinHunt Specialty Products)から「HPR−204」という名称で販売されており、混合型メタ−およびパラ−クレゾール系ノボラックバインダー樹脂と、トリヒドロキシベンゾフェノンのナフタキノン−1,2−ジアジド−5−スルホン酸トリエステル増感剤であって、これを85重量%のエチルセルロースアセテート、8.6重量%の酢酸ブチル、および5.2重量%のキシレン、および1.2重量%のエチルベンゼンに溶かしたものを含んでいる。適切な反射防止膜は、参照によりその開示全体を本明細書に援用するトーマス(Thomas)他の米国特許第6,207,787号に記載されているような,有機溶媒に溶かしたビスフェノールAとベンゾフェノンとのコポリマーである。その他の適切な反射防止膜には、多官能性アクリレートおよびメタクリレートモノマーの有機溶液と、ポリ尿素およびポリスルホンポリマーが含まれるが、当然これらに限定するものではない。本発明で使用するのに適する共形的な反射防止膜は、「DUV 30」および「DUV 32」という商標でミズーリ州ローラ(Rolla)ブリュワー・サイエンス・インコポレイテッド(BrewerScience, Inc.)からも販売されている。フォトレジストおよび反射防止膜は、参照によりその開示全体を本明細書に援用するダラクチーブ・アイ・エス(Daraktchiev,I. S.)のUS4,996,080に記載されるように、追加の溶媒中に混合することによって、濃度を薄くしまたは希釈してもよい。本発明での使用に適する市販の平坦化反射防止膜には、「DUV 30」および「DUV 32」という製品名でミズーリ州ローラ(Rolla)ブリュワー・サイエンス・インコポレイテッドから販売されているものが含まれる。
保護膜に、スピンオン・オキサイド(たとえばグラス・スピンオン)膜を使用して、それを化学的機械研磨(CMP)により平坦化することも可能である。あるいは、共形的な有機膜を堆積し、やはりCMPによって平坦化することもできる。共形的有機膜は、参照によりその開示全体を本明細書に援用するペイブリック(Pavelick)他のUS6,190,939B1に記載されているように、一般に分子量が比較的高い樹脂、たとえば40,000ダルトン以上に高い樹脂を、溶媒に溶かしたものからなる。本発明での使用に適する市販の共形的反射防止膜には、「ARC 25」、「DUV 44」、および「DUV 42」という製品名で、やはりミズーリ州ローラ、ブリュワー・サイエンス・インコポレイテッドから販売されているものと、マサチューセッツ州(Massachussetts)マールボロ(Marlborogh)のシップレイ・カンパニー・エルエルシー(ShipleyCompany,LLC)から「AR」シリーズの商標で販売されているもの、特に「AR5」とそれよりも番号の大きい「AR7」や「AR14」などの名称のものが含まれる。
当然ながら、平坦化し、接着し、必要な選択性を所有するのに十分な溶媒に溶解したどのポリマーも、本発明に適する。フォトレジストおよび反射防止膜は、どのような半導体組立設備においてもその材料をほぼ常に容易に入手できるので、本発明での使用に特に引用される。
図5を参照すると、保護膜6が窪んでおり、酸化物分離4上の凹部内にのみ保護膜6が残るようになされている。有機ポリマー保護膜の場合、これは、無線周波数の存在下で酸素および窒素ガスを使用したプラズマ・エッチングによって実現することができる。
図6を参照すると、露出した窒化物(すなわちアークによって保護されない)を、好ましくは反応性イオン・エッチング(RIE)でエッチング除去し、それによって活性領域3を露出させる。あるいは、熱リン酸エッチングまたは同様のエッチングを使用することができるが、この手順は、ドライRIEエッチングよりも制御しにくい。
窒化シリコンのRIEエッチングでは、一般に無線周波数(たとえば13.5MHz)の存在下、CF−OまたはCHF−Oガスの混合物あるいはCHまたはCHFガスを利用して、グロー放電を実施する。典型的な反応圧力は約7〜約6000mTorrである。
本発明で使用される市販のRIEシステムには、とりわけ「Etch Centura」という商標シリーズでアプライド・マテリアルズから販売されているものが含まれる。そのようなシステムでは、グロー放電と電極を利用して、スパッタリングの利点と増速プラズマ・エッチングの利点を兼ね備えた状態にし、異方性の高いエッチングを実現する。
図7を参照すると、次いで保護膜6がエッチング除去されており、保護窒化物キャップ5で覆われたトレンチ酸化物4が残っている。次にトレンチ酸化物4に損傷を与えるおそれなしに活性領域を前洗浄することができる。
本明細書に開示したすべての物理量は、特に他に示さない限り、開示した量に厳密に等しいとみなすものではなく、開示した量にほぼ等しいとすることが理解されよう。さらに、「約」などの修飾語が単にないものは、本明細書に開示する任意のその他の物理量に関してそのような修飾語が使用されているか否かに関わらず、開示された任意のそのような物理量が、厳密な量であることを明示すると解釈すべきでない。
好ましい実施形態について図示し記述してきたが、その実施形態には、本発明の精神および範囲から逸脱することなく、様々な修正および置換えを行うことができる。したがって、本発明は単なる例示として記述され、本明細書に開示したそのような例示および実施形態は、特許請求の範囲を限定すると解釈すべきでないことを理解されたい。

Claims (20)

  1. 半導体シャロー・トレンチ・アイソレーション(STI)酸化物をエッチングから保護する方法であって、
    必要に応じて前記STI酸化物の上面を、隣接するシリコン活性領域の上面よりも低い高さに下げるステップと、
    前記STI酸化物の上方に凹部を画定するのに有効な手法で、前記STI酸化物および隣接するシリコン活性領域上に窒化物ライナを堆積するステップと、
    前記凹部に保護膜を充填するステップと、
    前記窒化物ライナを前記隣接する活性領域から除去するステップと
    を含む方法。
  2. 窒化物ライナの前記堆積が化学気相成長法を用いて行われる、請求項1に記載の方法。
  3. 前記化学気相成長法が、低圧化学気相成長法、急速熱処理化学気相成長法、プラズマ増速化学気相成長法、または高密度プラズマ化学気相成長法の群から選択される1つである、請求項2に記載の方法。
  4. 前記化学気相成長法が、シラン誘導体をアンモニアと反応させるステップをさらに含む、請求項2に記載の方法。
  5. 前記保護膜が有機ポリマーである、請求項1に記載の方法。
  6. 前記有機ポリマーが平坦化ポリマーである、請求項5に記載の方法。
  7. 前記平坦化保護ポリマーが反射防止膜ポリマーである、請求項6に記載の方法。
  8. 前記反射防止膜ポリマーが、アクリレートおよびメタクリレートの混合物と、ポリ尿素およびポリスルホンポリマーの混合物と、ベンゾフェノンおよびビスフェノールAのコポリマーとの群から選択された1つである、請求項7に記載の方法。
  9. 前記平坦化保護ポリマーがフォトレジストポリマーである、請求項6に記載の方法。
  10. 前記フォトレジストポリマーがノボラック樹脂を含む、請求項9に記載の方法。
  11. 前記保護膜がスピンオン酸化物である、請求項1に記載の方法。
  12. 前記保護膜が共形的であり、化学的機械研磨によって平坦化される、請求項1に記載の方法。
  13. 前記凹部に保護膜を充填する前記ステップが、
    前記窒化物層上に前記保護膜の層を堆積すること、および
    前記保護膜が前記凹部内にのみ残るように、前記保護膜を窪ませること
    を含む、請求項1に記載の方法。
  14. 前記保護膜が有機ポリマーであり、前記窪ませることがプラズマ・エッチングによって行われる、請求項13に記載の方法。
  15. 前記保護膜を前記凹部から除去するステップをさらに含む、請求項1に記載の方法。
  16. 前記保護膜の前記除去が反応性イオン・エッチングによって行われる、請求項15に記載の方法。
  17. 半導体シャロー・トレンチ・アイソレーション(STI)酸化物をエッチングから保護する方法であって、
    必要に応じて前記STI酸化物の上面を、隣接するシリコン活性領域の上面よりも低い高さに下げるステップと、
    前記隣接するシリコン活性層を、その上面に堆積させた共形的窒化物ライナによって引き起こされる応力から保護するのに有効な酸化シリコン層を、前記STI酸化物および隣接するシリコン活性領域上に任意選択で堆積するステップと、
    化学的気相成長法を実行して、前記STI酸化物の上方に凹部を画定するのに有効な手法で、前記STI酸化物および隣接するシリコン活性領域上に前記共形的窒化物ライナを堆積するステップと、
    前記窒化物ライナを、有機ポリマーを含む保護膜で覆うステップと、
    前記保護膜が前記凹部内にのみ残るように、前記保護膜をプラズマ・エッチングによって窪ませるステップと、
    前記窒化物層を反応性イオン・エッチングによって前記隣接する活性領域から除去するステップと、
    前記保護膜を、プラズマ・エッチングによって前記凹部から除去するステップと
    を含む方法。
  18. 1つまたは複数のシャロー・トレンチ・アイソレーションによって分離された複数の活性領域を含む半導体構造であって、前記シャロー・トレンチ・アイソレーションのみが窒化シリコンの保護層によって覆われる構造。
  19. 1つまたは複数のシャロー・トレンチ・アイソレーションによって分離された複数の活性領域を含む半導体構造であって、
    前記活性領域およびシャロー・トレンチ・アイソレーションが窒化シリコン層によって覆われ、前記窒化シリコン層が、前記シャロー・トレンチ・アイソレーション上に凹部を含み、
    前記凹部内には保護膜が堆積されている構造。
  20. 半導体シャロー・トレンチ・アイソレーション(STI)酸化物をエッチングから保護する方法であって、
    必要に応じて前記STI酸化物の上面を、隣接するシリコン活性領域の上面よりも低い高さに下げるための手段を提供するステップと、
    前記STI酸化物の上方に凹部を画定するのに有効な手法で、前記STI酸化物および隣接するシリコン活性領域上に窒化物ライナを堆積するための手段を提供するステップと、
    前記窒化物ライナによって引き起こされた応力から前記隣接するシリコン活性領域を保護するための手段を提供するステップと、
    前記凹部に保護膜を充填するための手段を提供するステップと、
    前記窒化物層を前記隣接する活性領域から除去するための手段を提供するステップと
    を含む方法。
JP2010091082A 2001-05-24 2010-04-12 半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法 Expired - Fee Related JP5448085B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/864,974 US6645867B2 (en) 2001-05-24 2001-05-24 Structure and method to preserve STI during etching
US09/864,974 2001-05-24

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002592185A Division JP4544607B2 (ja) 2001-05-24 2002-05-23 半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法

Publications (2)

Publication Number Publication Date
JP2010192919A true JP2010192919A (ja) 2010-09-02
JP5448085B2 JP5448085B2 (ja) 2014-03-19

Family

ID=25344436

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2002592185A Expired - Fee Related JP4544607B2 (ja) 2001-05-24 2002-05-23 半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法
JP2009022232A Pending JP2009094547A (ja) 2001-05-24 2009-02-03 エッチング中にstiを保持する構造および方法
JP2010091082A Expired - Fee Related JP5448085B2 (ja) 2001-05-24 2010-04-12 半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2002592185A Expired - Fee Related JP4544607B2 (ja) 2001-05-24 2002-05-23 半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法
JP2009022232A Pending JP2009094547A (ja) 2001-05-24 2009-02-03 エッチング中にstiを保持する構造および方法

Country Status (7)

Country Link
US (3) US6645867B2 (ja)
EP (1) EP1389348A2 (ja)
JP (3) JP4544607B2 (ja)
KR (1) KR100564990B1 (ja)
CN (1) CN100343974C (ja)
AU (1) AU2002310076A1 (ja)
WO (1) WO2002095819A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019508899A (ja) * 2016-03-11 2019-03-28 アイメック・ヴェーゼットウェーImec Vzw 垂直ナノ構造を取り囲むターゲット層を配設する方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294456B1 (en) * 1998-11-27 2001-09-25 Taiwan Semiconductor Manufacturing Company Method of prefilling of keyhole at the top metal level with photoresist to prevent passivation damage even for a severe top metal rule
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
US6821865B2 (en) * 2002-12-30 2004-11-23 Infineon Technologies Ag Deep isolation trenches
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6878644B2 (en) * 2003-05-06 2005-04-12 Applied Materials, Inc. Multistep cure technique for spin-on-glass films
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
KR100499642B1 (ko) * 2003-09-05 2005-07-05 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
KR100572491B1 (ko) * 2003-12-31 2006-04-19 동부아남반도체 주식회사 반도체 소자의 소자분리막 형성방법
TWI262558B (en) * 2004-03-05 2006-09-21 Promos Technologies Inc Planarization method of spin-on material layer and manufacturing method of photoresist layer
US6979627B2 (en) * 2004-04-30 2005-12-27 Freescale Semiconductor, Inc. Isolation trench
US7129149B1 (en) 2004-06-07 2006-10-31 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with anti-reflective liner
US7176104B1 (en) 2004-06-08 2007-02-13 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with deep oxide region
KR101067021B1 (ko) * 2004-12-03 2011-09-22 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US20060261436A1 (en) * 2005-05-19 2006-11-23 Freescale Semiconductor, Inc. Electronic device including a trench field isolation region and a process for forming the same
SG162757A1 (en) 2005-06-07 2010-07-29 Advanced Tech Materials Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
DE102005063131B4 (de) * 2005-12-30 2011-12-15 Advanced Micro Devices, Inc. Halbleiterbauelement und Verfahren zum Reduzieren von Leckströmen, die durch eine Fehljustierung einer Kontaktstruktur hervorgerufen werden, durch Erhöhen einer Fehlertoleranz des Kontaktstrukturierungsprozesses
US7670895B2 (en) 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US20080092819A1 (en) * 2006-10-24 2008-04-24 Applied Materials, Inc. Substrate support structure with rapid temperature change
KR100818711B1 (ko) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100965030B1 (ko) * 2007-10-10 2010-06-21 주식회사 하이닉스반도체 반도체 소자 및 반도체 소자의 콘택 플러그 형성 방법
KR20100090974A (ko) * 2009-02-09 2010-08-18 삼성전자주식회사 반도체 소자의 형성 방법
US8030173B2 (en) * 2009-05-29 2011-10-04 Freescale Semiconductor, Inc. Silicon nitride hardstop encapsulation layer for STI region
CN102142377B (zh) * 2011-01-30 2013-04-17 福建福顺微电子有限公司 一种功率mos器件硅沟槽制作方法
US9965850B2 (en) * 2012-07-05 2018-05-08 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
CN103177952B (zh) * 2011-12-21 2016-09-21 中国科学院微电子研究所 低温高覆盖性侧墙制造方法
US10521896B2 (en) * 2012-07-05 2019-12-31 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US8987070B2 (en) * 2012-09-12 2015-03-24 International Business Machines Corporation SOI device with embedded liner in box layer to limit STI recess
US8697536B1 (en) 2012-11-27 2014-04-15 International Business Machines Corporation Locally isolated protected bulk finfet semiconductor device
US8962430B2 (en) 2013-05-31 2015-02-24 Stmicroelectronics, Inc. Method for the formation of a protective dual liner for a shallow trench isolation structure
US9633857B1 (en) 2016-03-31 2017-04-25 Globalfoundries Inc. Semiconductor structure including a trench capping layer and method for the formation thereof
FR3054927B1 (fr) 2016-08-04 2018-07-13 Soitec Procede de fabrication d'une structure de semi-conducteur
JP6960839B2 (ja) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法
US20210209352A1 (en) * 2019-12-26 2021-07-08 Bernard Fryshman Insect and other small object image recognition and instant active response with enhanced application and utility
CN113990799B (zh) 2020-07-27 2022-12-16 长鑫存储技术有限公司 半导体器件的制备方法及半导体器件

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5929439A (ja) * 1982-08-11 1984-02-16 Matsushita Electronics Corp 半導体装置の絶縁分離方法
JPS607145A (ja) * 1983-06-25 1985-01-14 Toshiba Corp 半導体装置
JPH09199582A (ja) * 1996-01-16 1997-07-31 Nec Corp 半導体装置の製造方法
JPH10242259A (ja) * 1997-02-27 1998-09-11 Nec Corp 半導体装置およびその製造方法
JPH10294361A (ja) * 1997-04-17 1998-11-04 Fujitsu Ltd 半導体装置の製造方法
JPH11163118A (ja) * 1997-11-21 1999-06-18 Toshiba Corp 半導体装置の製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60236244A (ja) * 1984-05-09 1985-11-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4583281A (en) * 1985-03-13 1986-04-22 General Electric Company Method of making an integrated circuit
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
US5597868A (en) * 1994-03-04 1997-01-28 Massachusetts Institute Of Technology Polymeric anti-reflective compounds
WO1996002070A2 (en) * 1994-07-12 1996-01-25 National Semiconductor Corporation Integrated circuit comprising a trench isolation structure and an oxygen barrier layer and method for forming the integrated circuit
DE69533773D1 (de) * 1995-03-31 2004-12-23 Cons Ric Microelettronica Verfahren zur Herstellung von Isolationsgraben
US6429473B1 (en) * 1996-07-30 2002-08-06 International Business Machines Corporation DRAM cell with stacked capacitor self-aligned to bitline
JPH10144781A (ja) * 1996-11-12 1998-05-29 Fujitsu Ltd 半導体装置の製造方法
KR100226488B1 (ko) * 1996-12-26 1999-10-15 김영환 반도체 소자 격리구조 및 그 형성방법
US5923992A (en) * 1997-02-11 1999-07-13 Advanced Micro Devices, Inc. Integrated circuit formed with shallow isolation structures having nitride placed on the trench dielectric
US6150072A (en) * 1997-08-22 2000-11-21 Siemens Microelectronics, Inc. Method of manufacturing a shallow trench isolation structure for a semiconductor device
TW351849B (en) * 1997-09-11 1999-02-01 United Microelectronics Corp Method for fabricating shadow trench insulation structure
JP2953447B2 (ja) * 1997-11-14 1999-09-27 日本電気株式会社 溝分離型半導体装置の製造方法
US6960818B1 (en) * 1997-12-30 2005-11-01 Siemens Aktiengesellschaft Recessed shallow trench isolation structure nitride liner and method for making same
US6190839B1 (en) * 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
JP3691963B2 (ja) * 1998-05-28 2005-09-07 株式会社東芝 半導体装置及びその製造方法
US6406987B1 (en) * 1998-09-08 2002-06-18 Taiwan Semiconductor Manufacturing Company Method for making borderless contacts to active device regions and overlaying shallow trench isolation regions
US5930646A (en) * 1998-10-09 1999-07-27 Chartered Semiconductor Manufacturing, Ltd. Method of shallow trench isolation
US6235606B1 (en) * 1999-01-04 2001-05-22 United Microelectronics Corp. Method of fabricating shallow trench isolation
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6265302B1 (en) * 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6297126B1 (en) * 1999-07-12 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
JP2001077189A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
JP4608710B2 (ja) * 1999-09-09 2011-01-12 ソニー株式会社 半導体装置
JP2001085683A (ja) * 1999-09-10 2001-03-30 Denso Corp 半導体装置及びその製造方法
US6265271B1 (en) * 2000-01-24 2001-07-24 Taiwan Semiconductor Manufacturing Company Integration of the borderless contact salicide process
US6355531B1 (en) * 2000-08-09 2002-03-12 International Business Machines Corporation Method for fabricating semiconductor devices with different properties using maskless process
TWI248159B (en) * 2002-01-25 2006-01-21 Nanya Technology Corp Manufacturing method for shallow trench isolation with high aspect ratio
US6791155B1 (en) * 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5929439A (ja) * 1982-08-11 1984-02-16 Matsushita Electronics Corp 半導体装置の絶縁分離方法
JPS607145A (ja) * 1983-06-25 1985-01-14 Toshiba Corp 半導体装置
JPH09199582A (ja) * 1996-01-16 1997-07-31 Nec Corp 半導体装置の製造方法
JPH10242259A (ja) * 1997-02-27 1998-09-11 Nec Corp 半導体装置およびその製造方法
JPH10294361A (ja) * 1997-04-17 1998-11-04 Fujitsu Ltd 半導体装置の製造方法
JPH11163118A (ja) * 1997-11-21 1999-06-18 Toshiba Corp 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019508899A (ja) * 2016-03-11 2019-03-28 アイメック・ヴェーゼットウェーImec Vzw 垂直ナノ構造を取り囲むターゲット層を配設する方法

Also Published As

Publication number Publication date
CN100343974C (zh) 2007-10-17
JP4544607B2 (ja) 2010-09-15
EP1389348A2 (en) 2004-02-18
KR20030097838A (ko) 2003-12-31
AU2002310076A1 (en) 2002-12-03
KR100564990B1 (ko) 2006-03-28
US20020175146A1 (en) 2002-11-28
CN1610967A (zh) 2005-04-27
US20050275060A1 (en) 2005-12-15
US20030199166A1 (en) 2003-10-23
JP2004527916A (ja) 2004-09-09
WO2002095819A8 (en) 2004-12-09
WO2002095819A2 (en) 2002-11-28
JP2009094547A (ja) 2009-04-30
WO2002095819A3 (en) 2003-11-20
JP5448085B2 (ja) 2014-03-19
US6645867B2 (en) 2003-11-11

Similar Documents

Publication Publication Date Title
JP5448085B2 (ja) 半導体シャロー・トレンチ・アイソレーション(sti)酸化物をエッチングから保護する方法
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
KR101556574B1 (ko) 이중 패터닝 식각 프로세스
US6183655B1 (en) Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6245640B1 (en) Method for fabricating a semiconductor structure
CN105745740B (zh) 用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法
US6562713B1 (en) Method of protecting semiconductor areas while exposing a gate
CN111712924B (zh) 空气间隙形成工艺
JP2011511476A (ja) 乾式または液浸リソグラフィを用いる45nmフィーチャサイズでの、フォトレジスト材料の崩壊およびポイゾニングの解消
US7371436B2 (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
KR20040100767A (ko) 저압 실리콘 질화막 형성 방법
US6642147B2 (en) Method of making thermally stable planarizing films
CN116670802A (zh) 用于半导体装置形成的底层膜
KR20010020758A (ko) 유전체 박막의 선택적 에칭 방법
US7795151B2 (en) Methods of forming a trench having side surfaces including a uniform slope
TWI831940B (zh) 溝槽中薄膜沉積的方法
TWI235771B (en) Method of forming a fluorocarbon polymer film on a substrate using a passivation layer
US20040171261A1 (en) Method of etching a silicon nitride film and method of manufacturing a semiconductor device using the same
US7358197B2 (en) Method for avoiding polysilicon film over etch abnormal
KR20080085287A (ko) 패턴 형성을 위한 반도체 구조 및 이를 이용한 패턴 형성방법
JPH10303191A (ja) 均一誘電層の沈積法
JPH03107464A (ja) 誘電体膜堆積方法および装置
KR20170045950A (ko) 반도체 장치의 제조방법 및 반도체 공정 챔버의 세정방법
JP2001274053A (ja) 半導体製造方法
KR20040006466A (ko) 반도체 소자의 소자분리막 형성방법

Legal Events

Date Code Title Description
RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20100802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121016

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20121031

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121031

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130806

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131203

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20131204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131219

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees