TWI831940B - 溝槽中薄膜沉積的方法 - Google Patents
溝槽中薄膜沉積的方法 Download PDFInfo
- Publication number
- TWI831940B TWI831940B TW109107944A TW109107944A TWI831940B TW I831940 B TWI831940 B TW I831940B TW 109107944 A TW109107944 A TW 109107944A TW 109107944 A TW109107944 A TW 109107944A TW I831940 B TWI831940 B TW I831940B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- mask
- trenches
- curing process
- curing
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 267
- 238000000427 thin-film deposition Methods 0.000 title 1
- 238000012545 processing Methods 0.000 claims abstract description 53
- 239000000758 substrate Substances 0.000 claims abstract description 47
- 238000005530 etching Methods 0.000 claims abstract description 41
- 238000001723 curing Methods 0.000 claims description 68
- 239000000203 mixture Substances 0.000 claims description 62
- 238000000151 deposition Methods 0.000 claims description 42
- 238000010894 electron beam technology Methods 0.000 claims description 7
- 238000010884 ion-beam technique Methods 0.000 claims description 6
- 238000001029 thermal curing Methods 0.000 claims description 6
- 230000000694 effects Effects 0.000 claims description 4
- 230000008021 deposition Effects 0.000 abstract description 28
- 239000000463 material Substances 0.000 description 31
- 239000010408 film Substances 0.000 description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 14
- 229910052710 silicon Inorganic materials 0.000 description 14
- 239000010703 silicon Substances 0.000 description 14
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 11
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 10
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 9
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 9
- WMFOQBRAJBCJND-UHFFFAOYSA-M Lithium hydroxide Chemical compound [Li+].[OH-] WMFOQBRAJBCJND-UHFFFAOYSA-M 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 238000005108 dry cleaning Methods 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- 238000004528 spin coating Methods 0.000 description 8
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 238000001312 dry etching Methods 0.000 description 7
- 230000003287 optical effect Effects 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 6
- KOOADCGQJDGAGA-UHFFFAOYSA-N [amino(dimethyl)silyl]methane Chemical compound C[Si](C)(C)N KOOADCGQJDGAGA-UHFFFAOYSA-N 0.000 description 6
- 229910052799 carbon Inorganic materials 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- 239000011737 fluorine Substances 0.000 description 6
- 229910052731 fluorine Inorganic materials 0.000 description 6
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- 238000001039 wet etching Methods 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 5
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 5
- 229910052801 chlorine Inorganic materials 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 239000000356 contaminant Substances 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 230000000873 masking effect Effects 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 238000011049 filling Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 238000003848 UV Light-Curing Methods 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 3
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 3
- 150000002978 peroxides Chemical class 0.000 description 3
- -1 polysiloxane Polymers 0.000 description 3
- 229920001296 polysiloxane Polymers 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- 150000003839 salts Chemical class 0.000 description 3
- 239000002904 solvent Substances 0.000 description 3
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005520 cutting process Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- URQUNWYOBNUYJQ-UHFFFAOYSA-N diazonaphthoquinone Chemical compound C1=CC=C2C(=O)C(=[N]=[N])C=CC2=C1 URQUNWYOBNUYJQ-UHFFFAOYSA-N 0.000 description 2
- 125000000524 functional group Chemical group 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 2
- 150000004679 hydroxides Chemical class 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 238000007737 ion beam deposition Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- RXCVUXLCNLVYIA-UHFFFAOYSA-N orthocarbonic acid Chemical compound OC(O)(O)O RXCVUXLCNLVYIA-UHFFFAOYSA-N 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 2
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 2
- 239000004926 polymethyl methacrylate Substances 0.000 description 2
- 229920001709 polysilazane Polymers 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000012686 silicon precursor Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 108091028072 EteRNA Proteins 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 230000003190 augmentative effect Effects 0.000 description 1
- 239000011230 binding agent Substances 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229920006037 cross link polymer Polymers 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 238000005755 formation reaction Methods 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 239000002061 nanopillar Substances 0.000 description 1
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 description 1
- 229920003986 novolac Polymers 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000000935 solvent evaporation Methods 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/042—Coating on selected surface areas, e.g. using masks using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0005—Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B1/00—Optical elements characterised by the material of which they are made; Optical coatings for optical elements
- G02B1/10—Optical coatings produced by application to, or surface treatment of, optical elements
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B5/00—Optical elements other than lenses
- G02B5/18—Diffraction gratings
- G02B5/1847—Manufacturing methods
- G02B5/1857—Manufacturing methods using exposure or etching means, e.g. holography, photolithography, exposure to electron or ion beams
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/0001—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings specially adapted for lighting devices or systems
- G02B6/0011—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings specially adapted for lighting devices or systems the light guides being planar or of plate-like form
- G02B6/0065—Manufacturing aspects; Material aspects
Landscapes
- Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Physics & Mathematics (AREA)
- Inorganic Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Drying Of Semiconductors (AREA)
- Optics & Photonics (AREA)
- Micromachines (AREA)
- Formation Of Insulating Films (AREA)
Abstract
本揭示案之實施例大體關於在沉積、蝕刻及/或固化製程期間藉由遮罩來處理含有基板之工件,以在工件上具有區域化的沉積。將遮罩置放在工件之第一層上,該遮罩保護複數個溝槽免受第二層之沉積的影響。在一些實施例中,在第二層之沉積之前置放遮罩。在其他實施例中,在沉積遮罩之前使第二層固化。在其他實施例中,在沉積遮罩之後蝕刻第二層。本文中所揭示之方法允許在工件中所存在之溝槽中的一些中沉積第二層,而同時至少部分地防止在工件中所存在之其他溝槽中沉積第二層。
Description
本揭示案之實施例大體而言係關於一種方法,且更特定言之,係關於溝槽中薄膜沉積之方法。
在光學元件(諸如,虛擬的或增強的現實裝置)中,波導組合器時常用以耦合虛擬影像,經由全內反射將光傳輸至玻璃基板內部,並接著在到達觀看者眼睛的位置時耦合影像。對於光耦合及去耦而言,通常將波導組合器中之傾斜特徵及溝槽用作光繞射之光柵。接線(鰭片)之定向控制光的傳播方向,而傾斜角控制期望的(若干)繞射級之效率。
對於光學元件而言,溝槽中之選擇性沉積具有重要的工業應用。有必要精確控制沉積膜之材料性質(諸如,折射率)以便確保此些元件的正常運行。另外,需要無非所要的孔隙或孔洞之膜生長,以確保入射光在光學結構上之正常繞射、反射或折射。因此,需要均勻的膜生長以及選擇性,以確保結構之正確部分接收到具有期望材料性質之膜。
傳統的選擇性沉積製程通常包括化學機械研磨(chemical mechanical polishing; CMP)技術,以移除過量膜生長並確保膜生長僅發生在工件之期望部分中。然而,CMP技術無法移除溝槽中之膜生長,因為CMP技術為表面級別的技術。此外,CMP期間嚴苛的機械條件會損壞工件上之下伏結構。
因此,需要用於在具有溝槽之工件上選擇性地沉積層的改良方法。
在一或更多個實施例中,提供一種用於處理工件之方法,包括在安置於基板上之第一層上施加遮罩,其中該遮罩覆蓋第一層之第一部分而使第一層之第二部分被暴露;在第一層之第二部分上沉積第二層;自第一層之第一部分移除遮罩,其中第一層之第一部分被暴露且第一層之第二部分含有沉積於其上之第二層;以及將第二層暴露於固化製程。
在其他實施例中,提供一種用於處理工件之方法,包括在安置於基板上之第一層上沉積包括未固化組成物之第二層;在第二層上施加遮罩,其中該遮罩覆蓋第二層之第一部分而使第二層之第二部分被暴露;將遮罩以及第二層之第二部分暴露於固化製程,其中該遮罩屏蔽第二層之第一部分免受該固化製程的影響,而同時第二層之第二部分在固化製程期間至少部分地固化,且其中在固化製程之後,第二層之第一部分包括該未固化組成物且第二層之第二部分包括由該未固化組成物所形成之已固化組成物;以及移除遮罩以及第二層之包括該未固化組成物的第一部分。
在其他實施例中,提供一種用於處理工件之方法,包括在安置於基板上之第一層上沉積包括未固化組成物之第二層;在第二層上施加遮罩,其中該遮罩覆蓋第二層之第一部分而使第二層之第二部分被暴露;將遮罩以及第二層之第二部分暴露於蝕刻製程,其中遮罩屏蔽第二層之第一部分免受蝕刻製程的影響,而同時第二層之第二部分在蝕刻製程期間至少部分地被蝕刻;以及移除遮罩。
本文所揭示之方法允許在不需要CMP製程的情況下於溝槽中進行選擇性沉積。本文所述之選擇性沉積允許在一些溝槽中沉積,而防止在其他溝槽中沉積。
本揭示案之實施例通常關於處理含有複數個特徵及溝槽之工件。遮罩、固化及蝕刻之組合允許在工件之各個部分上進行選擇性沉積。膜僅選擇性地沉積在工件之某些部分上,填充一些溝槽而不填充其他溝槽。本文所揭示之實施例尤其有用於(但不限於)在某些溝槽中選擇性地沉積膜材料,而同時防止在其他溝槽中沉積。
如本文中所使用,術語「約」指代偏離標稱值+/-10%的變化。應理解,此變化可包括在本文所提供之任何值中。
遮罩沉積,繼之以第二層沉積
第1A圖描繪工件100,該工件100包括安置在基板102上之第一層110。第一層110可包括單晶矽(Si)、多晶矽、非晶矽、氮化矽(SiN)、氧化矽(SiO)、氧氮化矽(SiON)、熔融矽石、一或更多種金屬氧化物、其摻雜衍生物,或其任何組合。第一層110可包括形成於其中之複數個結構114、115。複數個結構114、115可包括垂直鰭片、傾斜鰭片,或柱(例如,奈米柱)。
複數個溝槽112、113經形成或以其他方式限定在第一層110內且在結構114、115之間。溝槽112、113包括與結構114、115中之任一者相鄰的一或更多個通道、通孔、空間、縫隙、孔隙或孔洞。溝槽112、113可與第一層110之表面成角度θ,諸如,自約15°至約75°。在一些實施例中,角度θ可為自約15°、約20°、約25°或約30°至約45°、約55°、約65°或約75°。每一溝槽112、113可在相鄰溝槽之間具有相同或不同的間距。每一溝槽112、113可具有與其他溝槽相同或不同的寬度。每一溝槽112、113可具有與其他溝槽相同或不同的深度。每一溝槽112、113可具有與其他空間相同或不同的角度θ。溝槽112、113深度可自約100 nm至約1 µm變化,溝槽寬度及溝槽與溝槽間距為自約50 nm至約600 nm。
工件100具有第二複數個結構114及安置在第一層110之第二部分118中的第二複數個溝槽112,該等結構藉由該等溝槽分離開。根據本文中描述及論述之一或更多個實施例,第一複數個溝槽113具有相對於第一層110之表面110S成約15°至約75°之角度θ的至少一個溝槽。根據本文中描述及論述之一或更多個實施例,第二複數個溝槽112具有相對於第一層110之該表面成約15°至約75°之角度θ的至少一個溝槽。第一溝槽113及第二溝槽12之角度θ可彼此相同或不同。
在一些實施例中,第一層110為基板102之表面,且基板102可包括一或更多個結構114、115。基板102可包括晶圓或面板基板,該晶圓或面板基板能夠使材料、層、膜及/或其類似者沉積在其上。基板102可包括矽(摻雜的或未摻雜的)、結晶矽、氧化矽、摻雜的或未摻雜的多晶矽或其類似者、鍺(Ge)基板、矽鍺(SiGe)基板、III-V族化合物基板(諸如,砷化鎵(GaAs)基板、碳化矽(SiC)基板)、圖案化的或未圖案化的絕緣層上半導體(semiconductor-on-insulator; SOI)基板、碳摻雜氧化物、氮化矽、太陽能電池陣列、太陽能電池板、發光二極體(light emitting diode; LED)基板,或任何其他材料(諸如,金屬、金屬合金及其他導電材料)。在一些實例中,基板102可安置在基板固持器或基板基座、夾板或其類似者上。基板102可包括複數個層或膜,諸如,半絕緣材料及半導電材料,其中半絕緣材料具有比半導電材料高的電阻率。基板102並不限於任何特定大小或形狀(例如,圓形、矩形或正方形)。在一些實例中,基板102為圓形的且具有約100 mm至約450 mm之直徑。
第2圖為根據本文中描述及論述之一或更多個實施例的用於使用遮罩120在第一層110上沉積第二層130之方法操作150的流程圖。儘管結合第1A圖至第1D圖及第2圖描述了方法150之操作,但熟習此項技術者將理解,配置成以任何次序執行該等方法操作之任何系統在本文所述實施例之範疇內。
方法150以操作152開始,此處在第一層110上施加、置放、沉積、形成或以其他方式安置遮罩120,如第1B圖中所描繪。遮罩120具有預定圖案,該預定圖案可轉置至下層(諸如,第一層110)。遮罩120覆蓋第一層110之第一部分116且使第一層之第二部分118被暴露。遮罩120可為或包括接觸遮罩、接近遮罩、投影遮罩或切割膠帶。遮罩120可與處理腔室分離,或作為可移動部分處在處理腔室內部。在一些實例中,遮罩120可為或包括UV固化製程中之光罩,且可併入UV腔室或光微影工具中。
遮罩120具有自約10 µm至約1 mm之厚度,諸如,自約100 µm至約500 µm。可設計遮罩120之厚度以減少陰影效應,陰影效應可在靠近遮罩邊緣處產生不均勻沉積。舉例而言,遮罩120具有相對小的厚度(例如,自約100 µm至約150 µm),以減少陰影效應。
在方法150之操作154處,在第一層110之第二部分118以及遮罩120上沉積第二層130,如第1C圖中所描繪。第二層130至少部分地沉積在第二複數個溝槽112內。遮罩120覆蓋第一層110之第一部分116並可大體上或完全地保護或屏蔽第一層110之第一部分116免受沉積在第一部分116上之第二層130影響。在一些實例中,第二層130之剩餘材料量會最終污染第一層110之第一部分116。又,取決於第二層130之成分及用以沉積或以其他方式形成第二層130之特定沉積製程,遮罩120可包括與第二層130相同之材料的層132及/或可在其上含有各種副產物、微粒及/或其他污染物。
第二層130係藉由化學氣相沉積(chemical vapor deposition; CVD)、電漿增強CVD(plasma-enhanced CVD; PE-CVD)、次大氣壓(sub-atmospheric CVD; SA-CVD)、高密度電漿CVD(high density plasma CVD; HDP-CVD)、可流動CVD(FCVD®
製程)、原子層沉積(atomic layer deposition; ALD)、熔爐ALD、熱ALD、電漿增強ALD(plasma-enhanced ALD; PE-ALD)、物理氣相沉積(physical vapor deposition; PVD)、離子束沉積、旋塗或其任何組合沉積的。第二層130包括塗層材料,諸如,旋塗碳、環氧樹脂、萘樹脂(C10
H8
)、有機平坦化層(organic planarization layer; OPL)、聚甲基丙烯酸甲酯(PMMA)、聚矽氮烷、聚矽氧烷、光阻劑或電子束(e-beam)抗蝕劑。第二層130亦可包括氧化矽(SiO)、氧氮化矽(SiON)、氮化矽(SiN)、碳化矽(SiC)、氧碳化矽(SiOC)、氫氧氮化矽(SiOHN)、非晶矽(α-Si)、多晶矽、含矽抗反射塗層(SiARC)、氧化鋁(Al2
O3
)、碳(C)、氫氧化碳(COH)、其合金、其摻雜衍生物,或其任何組合。在一或更多個實例中,第二層130可具有約1.05至約4.50之折射率(refractive index; RI)。可經由聚合物類型及官能基團來調節第二層130之光學及縫隙填充性質。舉例而言,已知甲基(CH3
-)基團或籠狀氫倍半矽氧烷(hydrogen silsesquioxane; HSQ)基團會降低第二層130之RI。
在CVD製程期間,可使用諸如三甲矽烷基胺(TSA)(N(SiH3
)3
)、矽烷、四矽烷(Si4
H10
)、正矽酸乙酯(TEOS)、四甲氧基矽烷(TMOS)或八甲基環四矽氧烷(OMCTS)之矽前驅物來沉積含矽的第二層130(諸如,矽、氧化矽或氧氮化矽)。在CVD製程期間,可使用諸如三甲矽烷基胺(TSA)之矽前驅物以及諸如氨(NH3
)之氮前驅物來沉積氧氮化矽層。在旋塗製程期間,可使用諸如聚矽氧烷之矽前驅物來沉積氧化矽層。
第二層130之沉積可在自約23℃至約400℃之基板溫度或處理腔室溫度下執行。舉例而言,CVD或ALD製程可在自約23℃至約100℃之基板溫度或處理腔室溫度下執行。旋塗製程可在約23℃之基板溫度或處理腔室溫度下執行。可在第二層130的沉積之後執行濕式蝕刻,以便移除第二層之存在於遮罩120下方之剩餘沉積。
在方法150之操作156處,自第一層110之第一部分116移除遮罩120。其後,第一層110之第一部分116被暴露或裸露,且第一層110之第二部分118含有沉積於其上之第二層130,如第1D圖中所描繪。可在移除遮罩120之前及/或同時移除遮罩120上所含之各種材料(例如,層132)、微粒或其他污染物。根據本文中描述及論述之一或更多個實施例,遮罩120以及第二層130之第一部分116在同一處理步驟中被移除。根據本文中描述及論述之一或更多個實施例,遮罩120以及第二層130之第一部分116在不同處理步驟中按順序被移除。
在一或更多個實施例中,方法100可包括在移除遮罩120之後的蝕刻製程期間自第一層110之第一部分116移除剩餘材料。蝕刻製程可包括濕式蝕刻製程,且包括將剩餘材料暴露於溶液,該溶液含有氫氟酸(HF)、磷酸(H3
PO4
)、一或更多種氫氧化物(例如,氫氧化鈉(NaOH)、氫氧化鉀(KOH)、氫氧化鋰(LiOH)、氫氧化銨(NH4
OH))或其鹽。在蝕刻製程期間,諸如對於包括SiON之第二層130而言,可使用濃度為約50:1至約1,000:1(在水中)之稀氫氟酸(DHF)溶液。蝕刻製程可為乾式蝕刻製程,且包括諸如對於包括SiON之第二層130而言,將剩餘材料暴露於含有氟(F)、氯(Cl)、其化合物、其離子或其任何組合之電漿。
在方法150之操作158處,將第二層130轉換成經固化組成物131,如第1E圖中所描繪。固化製程自第二層130中驅除掉非所要的溶劑,硬化並穩定第二層,且亦可改變第二層之化學及光學性質,從而將第二層轉化成經固化組成物131。根據一些實施例,固化製程或處理可為或包括熱固化製程、紫外線(UV)固化製程、電漿輔助處理製程、離子束處理製程、電子束(e-beam)處理或其任何組合。
固化製程可在自約23℃至約400℃之溫度下執行。若應用UV光,則UV波長可為自約190 nm至約500 nm之寬頻波長,或具有約193 nm、248 nm或365 nm之波長的單波長準分子雷射器。UV固化時間可自約1分鐘至約10分鐘變化。根據本文中描述及論述之一或更多個實施例,第二層130在固化製程期間暴露於臭氧(O3
)。在一些實施例中,固化製程可包括在處理或製程期間將第二層130暴露於一或更多種處理氣體或化合物,諸如,氬(Ar)、氦(He)、氧(O2
)、臭氧、氫氣(H2
)、氮氣(N2
)、氨、水、乙烯(C2
H4
)、乙炔(C2
H2
)或其任何組合。固化製程用以視給定應用所需而改變第二層130之膜成分和應力。可藉由材料設計(對聚合物及官能基團、黏合劑及溶劑的選擇)及烘烤條件(例如,逐步烘烤)來調節第二層130之光學性質,以控制交聯、溶劑蒸發以及奈米孔隙的形成。另外,相比於未改質之第二層130,經固化組成物131更易於或更難以自工件100移除。
舉例而言,包括FCVD沉積的SiONH之第二層130可經固化為包括SiO之固化組成物131。在額外實例中,包括旋塗沉積的聚合物及溶劑層之第二層130可經固化為包括CHO之固化組成物131,其中固化組成物包括交聯聚合物。
在一或更多個實施例中,使用FCVD使用TSA/NH3
/O2
前驅物在自約23℃至約100℃之溫度下沉積第二層130,在施加臭氧的同時使用烘烤製程在自約23℃至約400℃之溫度下使第二層固化,且應用包括DHF之濕式蝕刻。
在其他實施例中,使用旋塗來沉積第二層130,該第二層包括有機平坦化層(organic planarization layer; OPL),該有機平坦化層(OPL)包括包含有C及H之聚合物,使用烘烤製程在自約250℃至約400℃之溫度下使第二層固化,且應用包括過氧化硫混合物(sulfuric peroxide mix; SPM)之濕式蝕刻。
如上所述,遮罩沉積在第一層上。第二層沉積在工件上,但遮罩防止了第二層沉積在安置於遮罩下面之第一複數個溝槽中。移除遮罩,且因此第二層得以生長,以使得第二層之材料存在於第二複數個溝槽中,但不存在於第一複數個溝槽中。
遮罩保護第一複數個溝槽免於被第二層填充,而同時仍允許第二複數個溝槽由第二層填充。如上所述之方法對於不需要固化以便自工件移除之膜而言效果良好。
第二層沉積,繼之以遮罩沉積
第3A圖描繪工件200,該工件200包括第一層110,該第一層110安置在基板102上且含有複數個溝槽112、113及一或更多個結構114、115,如先前關於第1A圖中之工件100所論述。
第4圖為根據本文中描述及論述之一或更多個實施例的用於在工件200上沉積第二層230(包括固化及使用遮罩120)之方法250之操作的流程圖。儘管結合第3A圖至第3E圖及第4圖描述了方法250之操作,但熟習此項技術者將理解,配置成以任何次序執行該等方法操作之任何系統在本文所述實施例之範疇內。
方法250以操作252開始,此處在第一層110上沉積含有未固化組成物之第二層230,如第3B圖中所描繪。第二層230填充第一複數個溝槽113及第二複數個溝槽112。第二層230亦沿第一層110延伸並覆蓋第一層110。第二層230係藉由上文在第1B圖中之第二層130之沉積的論述中所揭示之方法中的任一者沉積的。第二層230之材料類似於上述第二層130之材料。第二層230之沉積可在類似於上述第二層130之溫度的基板溫度或處理腔室溫度下執行。
在方法250之操作254處,在第二層230上施加、置放、沉積、形成或以其他方式安置遮罩120,如第3C圖中所描繪。遮罩120之內容及遮罩之施加類似於上述操作152之相應者。
在方法250之操作256處,將工件200(包括遮罩120以及第二層230之第二部分218)暴露於固化製程,如第3D圖中所描繪。遮罩120屏蔽或以其他方式保護第二層230之第一部分216免受固化製程的影響,而同時第二層230之第二部分218在固化期間至少部分地固化、大體上固化或完全地固化。在固化製程之後,第二層230之第一部分216含有未固化組成物。第二層230之第二部分218含有在固化製程期間由未固化組成物形成之經固化組成物231。該固化製程類似於上述操作158之固化製程。
在方法250之操作258處,自工件200移除遮罩120以及第二層230之含有未固化組成物的第一部分216,如第3E圖中所描繪。可在移除第二層230之第一部分216之前移除遮罩120。遮罩120以及第二層230之第一部分216可在同一製程期間被移除。層之第一部分216可藉由蝕刻製程移除。蝕刻製程可包括濕式蝕刻製程,且包括將未固化材料暴露於溶液,該溶液含有氫氟酸、磷酸、一或更多種氫氧化物(例如,氫氧化鈉、氫氧化鉀、氫氧化鋰、氫氧化銨)或其鹽。在一或更多個實例中,在蝕刻製程期間使用濃度為約50:1至約1,000:1(在水中)之DHF溶液。蝕刻製程可包括乾式蝕刻製程,且包括將未固化材料暴露於含有氧、氟、氯、其化合物、其離子或其任何組合之電漿。
在其他實施例中,使用FCVD使用TSA/NH3
/O2
前驅物在自約23℃至約100℃之溫度下沉積第二層330,使用烘烤製程在自約0℃至約400℃之溫度下使第二層固化而同時紫外線(UV)光在約193 nm至約500 nm之波長內持續約1分鐘至約10分鐘,且應用包括DHF之乾式或濕式蝕刻。
在其他實施例中,使用FCVD使用OMCTS/TMOS/O2
前驅物在自約23℃至約100℃之溫度下沉積第二層330,使用烘烤製程在自約0℃至約400℃之溫度下使第二層固化而同時施加波長為約193 nm至約500 nm的紫外線(UV)光歷時約1分鐘至約10分鐘,且執行包括氧電漿之乾式蝕刻。
如上所述,第二層沉積在第一層上,填充了第一複數個溝槽及第二複數個溝槽。在第二層之第一部分之上置放遮罩,且該遮罩保護第一層免受固化製程的影響。固化製程將第二層之經暴露的第二部分轉換為固化組成物。當移除遮罩時,第二層之第一部分連同遮罩一起被移除。剩餘的經固化組成物填充第二複數個溝槽,而第一複數個溝槽為空。
遮罩保護第一複數個溝槽免於被第二層固化,而同時仍允許第二複數個溝槽由經固化的第二層填充。如上所述之方法對於在沉積之後需要固化的膜而言效果良好。
第二層沉積,繼之以蝕刻製程
第5A圖描繪工件300,該工件300包括第一層110,該第一層110安置在基板102上且含有複數個溝槽112、113及複數個結構114、115,如先前關於第1A圖中之工件100所論述。
第6圖為根據本文中描述及論述之一或更多個實施例的用於在工件300上沉積第二層330(包括使用遮罩120及蝕刻製程)之方法350之操作的流程圖。儘管結合第5A圖至第5G圖及第6圖描述了方法350之操作,但熟習此項技術者將理解,配置成以任何次序執行該等方法操作之任何系統在本文所述實施例之範疇內。
方法350以操作352開始,此處在第一層110上沉積、形成、置放或以其他方式安置含有未固化組成物之第二層330,如第5B圖中所描繪。第二層330填充第一複數個溝槽113及第二複數個溝槽112。第二層330亦沿第一層110延伸並覆蓋第一層110。第二層330係藉由以上在第1B圖之論述中所揭示的方法中之任一者沉積的。第二層330之材料類似於上述第二層130之材料。第二層330之沉積可在類似於上述第二層130之溫度的基板溫度或處理腔室溫度下執行。
在方法350之可選操作354處,將第二層330暴露於固化製程,從而將第二層轉換為經改質之第二層331,如第5C圖中所描繪。該固化製程類似於如上所述之操作158中所述的固化製程。儘管以下操作涉及經改質之第二層331,但應理解,可在無上述固化製程的情況下將同一製程應用於如此沉積之第二層330。
在方法350之操作356處,在第二層330上施加、置放、沉積、形成或以其他方式安置遮罩120,如第5D圖中所描繪。遮罩120之沉積類似於如上所述之操作152中所述的沉積。
在方法350之操作358處,將工件300(包括遮罩120以及經改質之第二層331的第二部分318)暴露於蝕刻製程,如第5E圖中所描繪。遮罩120屏蔽或以其他方式保護第二層330之第一部分316免受蝕刻製程的影響,而同時經改質之第二層331的第二部分318至少部分地被蝕刻掉。在蝕刻製程之後,並未完全地移除經改質之第二層331的第一部分316。至少部分地移除了經改質之第二層331的第二部分318,且至少部分地自第二複數個溝槽112移除了經改質之第二層。
蝕刻製程可包括濕式蝕刻及/或乾式蝕刻。濕式蝕刻包括將工件300暴露於蝕刻化學品,該蝕刻化學品可包括DHF、KOH、過氧化硫混合物(sulfuric peroxide mix; SPM)、磷酸或以上各者之任何組合。乾式蝕刻包括將工件300暴露於蝕刻化學品,該蝕刻化學品可包括氟基化學品、氯基化學品、氧基化學品或以上各者之任何組合。蝕刻可在自約23℃至約200℃之溫度下執行。
蝕刻化學過程係基於待移除的經改質之第二層331的成分。舉例而言,DHF或氫氟碳化合物(HFC)可用以移除包括SiO的經改質之第二層331,磷酸(H3
PO4
)可用以移除包括SiN的經改質之第二層,且過氧化硫混合物(sulfuric peroxide mix; SPM)或氧電漿可用以移除包括碳的經改質之第二層。
在方法350之操作360處,自工件300移除遮罩120,如第5F圖中所描繪。與以上在操作156中所述製程類似地移除遮罩120。
在一或更多個實施例中,使用旋塗來沉積第二層330,該第二層包括重氮萘醌(DNQ)及/或酚醛清漆,使第二層固化而同時施加波長為約193 nm至約500 nm的紫外線(UV)光歷時約1分鐘至約10分鐘,且應用包括氧電漿之乾式蝕刻。
在其他實施例中,使用旋塗來沉積第二層330,該第二層包括聚矽氧烷或聚矽氮烷,使用烘烤製程在自約0℃至約400℃之溫度下使第二層固化而同時施加波長為約193 nm至約500 nm的紫外線(UV)光歷時約1分鐘至約10分鐘,且執行乾式或濕式蝕刻。
在其他實施例中,使用旋塗來沉積第二層330,該第二層包括有機平坦化層(organic planarization layer; OPL),該有機平坦化層(OPL)包括包含有C及H之聚合物,使用烘烤製程在自約250℃至約400℃之溫度下使第二層固化,且應用包括氧電漿之乾式蝕刻。
如上所述,第二層沉積在第一層上,填充了第一複數個溝槽及第二複數個溝槽。將第二層暴露於固化製程,從而將第二層轉換為經改質之第二層。將遮罩置放在第二層之第一部分之上。將工件暴露於蝕刻製程,該蝕刻製程移除經改質之第二層的第二部分。最終,移除遮罩。剩餘的經固化組成物填充第一複數個溝槽,而第二複數個溝槽為空。
遮罩保護第二複數個溝槽免於被經固化的第二層填充,而同時仍允許第一複數個溝槽由經固化的第二層填充。如上所述之方法對於需要固化以便進行蝕刻之膜而言效果良好。
在一或更多個實施例中,製程150及/或250及/或350以及其任何操作或部分可在CVD腔室中執行,該腔室諸如,熱CVD腔室、PE-CVD腔室、高密度電漿CVD腔室、低壓CVD腔室、減壓CVD腔室或大氣壓CVD腔室。在其他實施例中,製程150及/或250及/或250以及其任何操作或部分可在PVD腔室、ALD腔室、PE-ALD腔室、蝕刻腔室(熱或電漿)、磊晶腔室、退火腔室或其中溫度監測可能有用之任何其他處理腔室中執行。處理腔室之實例可包括可商購自加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials Inc.)之CVD腔室,諸如,AKT®
PECVD腔室、PRODUCERTM
腔室、Eterna FCVD®
腔室及PRECISION 5000®
腔室。
在其他實施例中,製程150及/或250及/或350以及其任何操作或部分、工件200之表面暴露於乾式清潔處理,以便移除氧化物、碳化物、微粒及/或其他污染物。可使用在不明顯損壞工件100、200、300的情況下自基板移除氧化物之任何適當的乾式清潔處理製程。適當的乾式清潔處理製程包括濺射蝕刻製程、基於電漿之氧化物蝕刻製程或其組合。乾式清潔處理可包括將工件100、200、300暴露於蝕刻劑或暴露於電漿、離子、自由基或其組合。蝕刻劑可為或包括氧、氟、氯、氮、其電漿、其離子、其自由基或其任何組合中之一或更多者。乾式清潔處理包括將工件100、200、300暴露於由三氟化氮(NF3
)及氨(NH3
)之組合所產生的氟電漿。其他預期蝕刻製程包括NF3
/NH3
電感耦合電漿製程或NF3
/NH3
電容耦合電漿製程。
在一或更多個實施例中,乾式清潔處理為基於電漿之氧化物蝕刻製程,其為涉及將基板同時暴露於NF3
及NH3
電漿副產物之遠端電漿輔助乾式蝕刻製程。在一些實例中,基於電漿之氧化物蝕刻製程可包括可商購自加利福尼亞州聖克拉拉市的應用材料公司之SICONI®
蝕刻製程。SICONI®
蝕刻製程可在可商購自加利福尼亞州聖克拉拉市的應用材料公司之SICONI®
預清潔腔室中執行。
在使用遠端電漿之一些實例中,氣體物種之激發允許無電漿損壞之基板處理。遠端電漿蝕刻可在很大程度上為保形的且對氧化矽層有選擇性,且因此不容易蝕刻矽,無論矽為非晶的、結晶的還是多晶的。遠端電漿製程通常將產生固態副產物,該等固態副產物會隨著材料被移除而在工件100、200、300之表面上生長。當工件100、200、300之溫度升高(例如,升至約300℃)時,可隨後經由昇華移除固態副產物。電漿蝕刻製程導致自工件100、200、300之表面移除了氧化物、微粒及其他污染物。
在一些實例中,可在使用遠端電漿源(remote plasma source; RPS)或以流體方式耦合至遠端電漿源(RPS)之處理腔室中對工件100、200、300執行乾式清潔處理製程。舉例而言,該處理腔室可為可商購自加利福尼亞州聖克拉拉市的應用材料公司之AKTIV Pre-Clean®
腔室。在其他實例中,可在使用電感耦合電漿(inductively coupled plasma; ICP)源之蝕刻腔室中執行乾式清潔處理製程。舉例而言,蝕刻腔室可為可商購自加利福尼亞州聖克拉拉市的應用材料公司之Centura®
Advantedge®
Mesa®
蝕刻腔室。或者,清潔製程可在採用基於自由基之化學過程的蝕刻腔室中執行。工件100、200、300在乾式清潔處理期間暴露於蝕刻劑歷時約20分鐘或更短的時間週期(例如,約10分鐘)以便移除污染物。
在一或更多個實例中,利用所沉積之膜的化學性質來產生選擇性及圖案,而非依賴於光阻劑/硬遮罩。在一或更多個實施例中,遮罩可為與工件及/或基板一起傳送至處理腔室之單獨的、可移除的及/或可重複使用的部件,或作為硬體部件併入工具及/或處理腔室中。在其他實施例中,二元遮罩可為置放在工件上並與工件一起傳送至處理腔室之接觸遮罩,或併入處理腔室設計中。
本揭示案之實施例進一步關於如下段落1至33中之任何一或更多者:
1.一種用於處理工件之方法,包括:在安置於基板上之第一層上施加遮罩,其中該遮罩覆蓋第一層之第一部分而使第一層之第二部分被暴露;在第一層之第二部分上沉積第二層;及自第一層之第一部分移除遮罩,其中第一層之第一部分被暴露且第一層之第二部分含有沉積於其上之第二層。
2.一種用於處理工件之方法,包括:在安置於基板上之第一層上沉積包括未固化組成物之第二層;在第二層上施加遮罩,其中該遮罩覆蓋第二層之第一部分而使第二層之第二部分被暴露;將遮罩以及第二層之第二部分暴露於固化製程,其中遮罩屏蔽第二層之第一部分免受固化製程影響,而同時第二層之第二部分在固化製程期間至少部分地固化,且其中在固化製程之後,第二層之第一部分包括該未固化組成物且第二層之第二部分包括由該未固化組成物所形成之經固化組成物;以及移除遮罩以及第二層之包括該未固化組成物的第一部分。
3.一種用於處理工件之方法,包括:在安置於基板上之第一層上沉積包括未固化組成物之第二層,其中第一層包括形成於其中之一或更多個結構,且其中該一或更多個結構包括垂直鰭片、傾斜鰭片或柱;在第二層上施加遮罩,其中該遮罩覆蓋第二層之第一部分而使第二層之第二部分被暴露;將遮罩以及第二層之第二部分暴露於固化製程,其中該遮罩屏蔽第二層之第一部分免受該固化製程的影響,而同時第二層之第二部分在固化製程期間至少部分地固化,且其中在固化製程之後,第二層之第一部分包括該未固化組成物且第二層之第二部分包括由該未固化組成物所形成之已固化組成物;以及移除遮罩以及第二層之包括該未固化組成物的第一部分。
4.一種用於處理工件之方法,包括:在安置於基板上之第一層上施加遮罩,其中該遮罩覆蓋第一層之第一部分而使第一層之第二部分被暴露;在第一層之第二部分上沉積第二層;自第一層之第一部分移除遮罩,其中第一層之第一部分被暴露且第一層之第二部分含有沉積於其上之第二層;以及將第二層暴露於固化製程。
5.一種用於處理工件之方法,包括:在安置於基板上之第一層上沉積包括未固化組成物之第二層;在第二層上施加遮罩,其中該遮罩覆蓋第二層之第一部分而使第二層之第二部分被暴露;將遮罩以及第二層之第二部分暴露於固化製程,其中該遮罩屏蔽第二層之第一部分免受該固化製程的影響,而同時第二層之第二部分在固化製程期間至少部分地固化,且其中在固化製程之後,第二層之第一部分包括該未固化組成物且第二層之第二部分包括由該未固化組成物所形成之經固化組成物;以及移除遮罩以及第二層之包括該未固化組成物的第一部分。
6.一種用於處理工件之方法,包括:在安置於基板上之第一層上沉積包括未固化組成物之第二層;在第二層上施加遮罩,其中該遮罩覆蓋第二層之第一部分而使第二層之第二部分被暴露;將遮罩以及第二層之第二部分暴露於蝕刻製程,其中遮罩屏蔽第二層之第一部分免受蝕刻製程的影響,而同時第二層之第二部分在蝕刻製程期間至少部分地被蝕刻;以及移除遮罩。
7.根據段落1至6中任一段的方法,其中第一層之第二部分包括第二複數個溝槽,且第二複數個溝槽中之至少一者相對於第一層之表面成約15°至約75°之角度。
8.根據段落1至7中任一段的方法,其中第一層之第一部分包括第一複數個溝槽,且第一複數個溝槽中之至少一者相對於第一層之表面成約15°至約75°之角度。
9.根據段落1至8中任一段的方法,其中固化製程選自由熱固化製程、紫外線固化製程、電漿輔助處理製程、離子束處理製程、電子束處理、烘烤處理及其任何組合組成之群組。
10.根據段落1至9中任一段的方法,其中在固化製程期間第二層暴露於臭氧。
11.根據段落1至10中任一段的方法,進一步包括在移除遮罩之後在蝕刻製程期間自第一層之第一部分移除剩餘材料。
12.根據段落1至11中任一段的方法,其中遮罩以及第二層之第一部分在同一製程期間被移除。
13.根據段落1至12中任一段的方法,其中第二層之第一部分係藉由蝕刻製程移除。
14.根據段落1至13中任一段的方法,進一步包括在將遮罩施加在第二層上之前藉由固化製程使第二層固化。
15.根據段落1至14中任一段的方法,在移除遮罩之後,進一步包括在蝕刻製程期間自第一層之第一部分移除剩餘材料。
16.根據段落1至15中任一段的方法,其中蝕刻製程為濕式蝕刻製程,且包括將剩餘材料暴露於溶液,該溶液包括氫氟酸、磷酸、氫氧化物或其鹽。
17.根據段落1至16中任一段的方法,其中蝕刻製程為乾式蝕刻製程,且包括將剩餘材料暴露於電漿,該電漿包括氟、氯、其化合物、其離子或其任何組合。
18.根據段落1至17中任一段的方法,進一步包括在熱固化製程、紫外線固化製程、電漿輔助處理製程、離子束處理製程、電子束處理或其任何組合期間使第二層固化。
19.根據段落1至18中任一段的方法,其中在固化製程期間第二層暴露於臭氧。
20.根據段落1至19中任一段的方法,其中第一層包括形成於其中之一或更多個結構。
21.根據段落1至20中任一段的方法,其中該一或更多個結構包括垂直鰭片、傾斜鰭片或柱。
22.根據段落1至21中任一段的方法,其中該一或更多個結構在第一層之第二部分中。
23.根據段落1至22中任一段的方法,其中第二層沉積在該一或更多個結構之間的空間內。
24.根據段落1至23中任一段的方法,其中第二層係藉由化學氣相沉積、原子層沉積、物理氣相沉積、離子束沉積、旋塗或其任何組合沉積的。
25.根據段落1至24中任一段的方法,其中第二層包括氧化矽、氧氮化矽、氮化矽、氧碳化矽、非晶矽、多晶矽、其合金、其摻雜劑衍生物或其任何組合。
26.根據段落1至25中任一段的方法,其中遮罩包括接觸遮罩、接近遮罩、投影遮罩或切割膠帶。
27.根據段落1至26中任一段的方法,其中遮罩具有約10 µm至小於1 mm之厚度。
28.根據段落1至27中任一段的方法,其中遮罩具有約50 µm至約900 µm之厚度。
29.根據段落1至28中任一段的方法,其中遮罩具有約100 µm至約500 µm之厚度。
30.根據段落1至29中任一段的方法,其中第一層為基板之表面。
31.根據段落1至30中任一段的方法,其中在移除第二層之第一部分之前移除遮罩。
32.根據段落1至31中任一段的方法,其中遮罩以及第二層之第一部分在同一製程期間被移除。
33.根據段落1至32中任一段的方法,其中第二層之第一部分係藉由蝕刻製程移除。
雖然前文針對本揭示案之實施例,但可在不脫離本揭示案之基本範疇的情況下設計其他及進一步實施例,且本揭示案之範疇由以下申請專利範圍確定。本文所述之任何文件皆以引用方式併入本文中,包括與本文不矛盾的任何優先權文件及/或測試程序。如自前文一般描述及特定實施例所顯而易見,雖然已圖示並描述了本揭示案之形式,但可在不脫離本揭示案之精神及範疇的情況下作出各種修改。因此,並不旨在據此來限制本揭示案。同樣,就美國法律而言,術語「包含(comprising)」被視為與術語「包括(including)」同義。同樣,每當在組成物、元素或元素之群組前面加上過渡短語「包含」時,應理解,在列舉組成物、元素或(若干)元素之前,吾人亦預期具有過渡短語「基本上由……組成」、「由……組成」、「選自由……組成之群組」或「係」的同一組成物或元素之群組,且反之亦然。
已使用一組數字上限及一組數字下限描述了某些實施例及特徵。應瞭解,除非另外指出,否則可預期包括任何兩個值之組合的範圍,例如,任何較低值與任何較高值之組合、任何兩個較低值之組合及/或任何兩個較高值之組合。某些下限、上限及範圍出現在以下一或更多個請求項中。
100:工件
102:基板
110:第一層
110S:表面
112:溝槽
113:溝槽
114:結構
115:結構
116:第一部分
118:第二部分
120:遮罩
130:第二層
131:經固化組成物
132:層
150:方法操作
152:操作
154:操作
156:操作
158:操作
200:工件
216:第一部分
218:第二部分
230:第二層
231:經固化組成物
250:方法
252:操作
254:操作
256:操作
258:操作
300:工件
316:第一部分
318:第二部分
330:第二層
331:經改質之第二層
350:方法
352:操作
354:可選操作
356:操作
358:操作
360:操作
因此,以可詳細地理解本揭示案之上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本揭示案之更特定描述,其中一些實施例在附加圖式中加以圖示。然而,應注意,附圖僅圖示示例性實施例,且因此不應視為對本揭示案之範疇的限制,可允許其他同等有效之實施例。
第1A圖至第1E圖根據本文中描述及論述之一或更多個實施例圖示在包括藉由遮罩進行沉積之製程期間以不同時間間隔處理之工件的示意圖。
第2圖為根據本文中描述及論述之一或更多個實施例的用於使用遮罩在工件上沉積第二層之方法操作的流程圖。
第3A圖至第3E圖根據本文中描述及論述之一或更多個實施例圖示在包括藉由遮罩進行固化之製程期間以不同時間間隔處理之工件的示意圖。
第4圖為根據本文中描述及論述之一或更多個實施例的用於使用固化製程及遮罩在工件上沉積第二層之方法操作的流程圖。
第5A圖至第5F圖根據本文中描述及論述之一或更多個實施例圖示在包括藉由遮罩進行蝕刻之製程期間以不同時間間隔處理之工件的示意圖。
第6圖為根據本文中描述及論述之一或更多個實施例的用於使用遮罩及蝕刻製程在工件上沉積第二層之方法操作的流程圖。
為了便於理解,在可能的情況下,已使用相同元件符號來表示諸圖中所共有之相同元件。預期一或更多個實施例之元件及特徵可有益地併入其他實施例中。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
100:工件
102:基板
110:第一層
112:溝槽
113:溝槽
114:結構
115:結構
116:第一部分
118:第二部分
131:經固化組成物
Claims (19)
- 一種用於處理一工件之方法,包括以下步驟:在安置於一基板上之一第一層上直接沉積包括一未固化組成物之一第二層;在該第二層上直接施加一遮罩,其中該遮罩覆蓋該第二層之一第一部分而使該第二層之一第二部分被暴露,其中該第一層的一第一部分安置在該第二層之該第一部分下方,以及該第一層的一第二部分安置在該第二層之該第二部分下方,以及其中該第一層的該第一部分包含第一複數個溝槽;將該遮罩以及該第二層之該第二部分暴露於一固化製程,其中該遮罩屏蔽該第二層之該第一部分免受該固化製程的影響,而同時該第二層之該第二部分在該固化製程期間至少部分地固化,且其中在該固化製程之後,該第二層之該第一部分包括該未固化組成物且該第二層之該第二部分包括由該未固化組成物所形成之一經固化組成物;以及移除該遮罩以及該第二層之包括該未固化組成物的該第一部分。
- 如請求項1所述之方法,其中該第一複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項1所述之方法,其中該第一層之該 第二部分包括第二複數個溝槽,且該第二複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項3所述之方法,其中該第一層之該第一部分包括第一複數個溝槽,且該第一複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項1所述之方法,其中該遮罩以及該第二層之該第一部分在同一製程期間被移除。
- 如請求項1所述之方法,其中該第二層之該第一部分係藉由一蝕刻製程移除。
- 如請求項1所述之方法,其中該固化製程選自由一熱固化製程、一紫外線固化製程、一電漿輔助處理製程、一離子束處理製程、一電子束處理、一烘烤處理及其任何組合組成之一群組。
- 一種用於處理一工件之方法,包括以下步驟:在安置於一基板上之一第一層上直接沉積包括一未固化組成物之一第二層,其中該第一層之一第一部分包括第一複數個溝槽;在該第二層上直接施加一遮罩,其中該遮罩覆蓋該第二層之一第一部分而使該第二層之一第二部分被暴露;將該遮罩以及該第二層之該第二部分暴露於一固化製程,其中該遮罩屏蔽該第二層之該第一部分免受該固化 製程的影響,而同時該第二層之該第二部分在該固化製程期間至少部分地固化,且其中在該固化製程之後,該第二層之該第一部分包括該未固化組成物且該第二層之該第二部分包括由該未固化組成物所形成之一經固化組成物;以及在同一製程期間移除該遮罩以及該第二層之包括該未固化組成物的該第一部分。
- 如請求項8所述之方法,其中該第一層的該第一部分安置在該第二層之該第一部分下方,以及該第一層的一第二部分安置在該第二層之該第二部分下方,以及其中該第一層之該第一部分包括第一複數個溝槽,且該第一複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項8所述之方法,其中該第一層的該第一部分安置在該第二層之該第一部分下方,以及該第一層的一第二部分安置在該第二層之該第二部分下方,以及其中該第一層之該第二部分包括第二複數個溝槽,且該第二複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項10所述之方法,其中該第一層之該第一部分包括第一複數個溝槽,且該第一複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項8所述之方法,其中該第二層之該 第一部分係藉由一蝕刻製程移除。
- 如請求項8所述之方法,其中該固化製程選自由一熱固化製程、一電漿輔助處理製程、一離子束處理製程、一電子束處理、一烘烤處理及其任何組合組成之一群組。
- 一種用於處理一工件之方法,包括以下步驟:在安置於一基板上之一第一層上直接沉積包括一未固化組成物之一第二層,其中該第一層之一第一部分包括第一複數個溝槽;在該第二層上直接施加一遮罩,其中該遮罩覆蓋該第二層之一第一部分而使該第二層之一第二部分被暴露;將該遮罩以及該第二層之該第二部分暴露於一固化製程,其中該遮罩屏蔽該第二層之該第一部分免受該固化製程的影響,而同時該第二層之該第二部分在該固化製程期間至少部分地固化,且其中在該固化製程之後,該第二層之該第一部分包括該未固化組成物且該第二層之該第二部分包括由該未固化組成物所形成之一經固化組成物;以及移除該遮罩以及該第二層之包括該未固化組成物的該第一部分,其中該第二層之該第一部分係藉由一蝕刻製程移除。
- 如請求項14所述之方法,其中該第一層的該第一部分安置在該第二層之該第一部分下方,以及該 第一層的一第二部分安置在該第二層之該第二部分下方,以及其中該第一層之該第一部分包括第一複數個溝槽,且該第一複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項14所述之方法,其中該第一層的該第一部分安置在該第二層之該第一部分下方,以及該第一層的一第二部分安置在該第二層之該第二部分下方,以及其中該第一層之該第二部分包括第二複數個溝槽,且該第二複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項16所述之方法,其中該第一層之該第一部分包括第一複數個溝槽,且該第一複數個溝槽中之至少一者相對於該第一層之一表面成約15°至約75°之一角度。
- 如請求項14所述之方法,其中該遮罩以及該第二層之該第一部分在同一製程期間被移除。
- 如請求項14所述之方法,其中該固化製程選自由一熱固化製程、一電漿輔助處理製程、一離子束處理製程、一電子束處理、一烘烤處理及其任何組合組成之一群組。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962834832P | 2019-04-16 | 2019-04-16 | |
US62/834,832 | 2019-04-16 | ||
US201962865001P | 2019-06-21 | 2019-06-21 | |
US62/865,001 | 2019-06-21 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202046386A TW202046386A (zh) | 2020-12-16 |
TWI831940B true TWI831940B (zh) | 2024-02-11 |
Family
ID=72832986
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109107944A TWI831940B (zh) | 2019-04-16 | 2020-03-11 | 溝槽中薄膜沉積的方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US11572619B2 (zh) |
EP (1) | EP3969633A4 (zh) |
JP (1) | JP7364688B2 (zh) |
KR (1) | KR102693845B1 (zh) |
CN (1) | CN113677825B (zh) |
TW (1) | TWI831940B (zh) |
WO (1) | WO2020214238A1 (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN117063104A (zh) | 2020-11-17 | 2023-11-14 | 应用材料公司 | 具有结构及折射率渐变的光学器件及其制造方法 |
CN113913926A (zh) * | 2021-10-22 | 2022-01-11 | 西安奕斯伟材料科技有限公司 | 外延反应腔室的恢复方法、外延生长装置及外延晶圆 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI653761B (zh) * | 2017-07-31 | 2019-03-11 | 台灣積體電路製造股份有限公司 | 半導體裝置及其製造方法 |
Family Cites Families (64)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5054872A (en) * | 1990-03-16 | 1991-10-08 | Ibm Corporation | Polymeric optical waveguides and methods of forming the same |
US5260163A (en) * | 1992-05-07 | 1993-11-09 | E. I. Du Pont De Nemours And Company | Photoenhanced diffusion patterning for organic polymer films |
JPH063822A (ja) * | 1992-06-23 | 1994-01-14 | Sekisui Chem Co Ltd | 立体画像表示盤の製造方法 |
JPH0778820A (ja) * | 1993-09-08 | 1995-03-20 | Fujitsu Ltd | 薄膜パターンの形成方法 |
JP2001167054A (ja) | 1999-12-09 | 2001-06-22 | Casio Comput Co Ltd | 携帯情報機器、認証装置及び認証システム |
ITTO20020793A1 (it) * | 2002-09-12 | 2004-03-13 | Olivetti Jet Spa | Metodo per ricoprire selettivamente una superficie microlavorata. |
JP2004152960A (ja) * | 2002-10-30 | 2004-05-27 | Toppan Printing Co Ltd | ドライエッチング装置及びエッチング方法 |
JP2004335873A (ja) * | 2003-05-09 | 2004-11-25 | Toshiba Corp | パターン形成方法 |
JP3946724B2 (ja) * | 2004-01-29 | 2007-07-18 | シャープ株式会社 | 半導体装置の製造方法 |
JP4383939B2 (ja) * | 2004-03-29 | 2009-12-16 | シャープ株式会社 | 伝送線路形成方法、伝送線路、半導体チップおよび半導体集積回路ユニット |
US7977032B2 (en) * | 2005-02-11 | 2011-07-12 | International Business Machines Corporation | Method to create region specific exposure in a layer |
US7459183B2 (en) * | 2005-07-27 | 2008-12-02 | International Business Machines Corporation | Method of forming low-K interlevel dielectric layers and structures |
KR100670375B1 (ko) | 2005-12-13 | 2007-01-16 | 삼성에스디아이 주식회사 | 박막 증착용 마스크, 박막 증착 방법 및 유기 발광표시장치의 제조방법 |
US7547398B2 (en) * | 2006-04-18 | 2009-06-16 | Molecular Imprints, Inc. | Self-aligned process for fabricating imprint templates containing variously etched features |
KR100827391B1 (ko) | 2006-12-26 | 2008-05-07 | 연세대학교 산학협력단 | 거울을 이용한 비접촉식 지문 영상 획득 장치 |
KR20080061651A (ko) * | 2006-12-28 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 형성방법 |
CN101221889A (zh) * | 2007-01-10 | 2008-07-16 | 联华电子股份有限公司 | 形成图案的方法 |
JP4950676B2 (ja) * | 2007-01-18 | 2012-06-13 | リンテック株式会社 | 回路基板の製造方法 |
JP5306341B2 (ja) * | 2007-07-04 | 2013-10-02 | コーニンクレッカ フィリップス エヌ ヴェ | パターン化された層を基板上に形成する方法 |
KR20090017120A (ko) * | 2007-08-14 | 2009-02-18 | 삼성전자주식회사 | 감광성 조성물을 이용한 블로킹 패턴의 형성 방법 및반도체 장치의 제조 방법 |
US7803722B2 (en) * | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
TWI360886B (en) * | 2007-10-30 | 2012-03-21 | Chunghwa Picture Tubes Ltd | A method for manufacturing a flat panel display |
JP5254049B2 (ja) * | 2008-02-15 | 2013-08-07 | 東京エレクトロン株式会社 | パターン形成方法及び半導体装置の製造方法 |
JP5211845B2 (ja) * | 2008-05-16 | 2013-06-12 | Dic株式会社 | パターン化位相差フィルムの製造方法 |
US8765233B2 (en) * | 2008-12-09 | 2014-07-01 | Asm Japan K.K. | Method for forming low-carbon CVD film for filling trenches |
KR20100074678A (ko) * | 2008-12-24 | 2010-07-02 | 주식회사 하이닉스반도체 | 플래시 메모리 소자의 제조 방법 |
WO2010143283A1 (ja) * | 2009-06-10 | 2010-12-16 | パイオニア株式会社 | コンタクトホールの形成方法、半導体装置の製造方法及び半導体装置 |
US20120007035A1 (en) | 2010-07-12 | 2012-01-12 | Crossbar, Inc. | Intrinsic Programming Current Control for a RRAM |
US20120075196A1 (en) | 2010-09-23 | 2012-03-29 | Nokia Corporation | Apparatus and method for user input |
US8649645B2 (en) * | 2011-06-10 | 2014-02-11 | Xyratex Technology Limited | Optical waveguide and a method of fabricating an optical waveguide |
US20130062732A1 (en) * | 2011-09-08 | 2013-03-14 | International Business Machines Corporation | Interconnect structures with functional components and methods for fabrication |
FR3000601B1 (fr) | 2012-12-28 | 2016-12-09 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
CN103984495B (zh) | 2013-02-07 | 2016-12-28 | 纬创资通股份有限公司 | 操作方法以及电子装置 |
US20140279528A1 (en) | 2013-03-15 | 2014-09-18 | Motorola Mobility Llc | Wearable Authentication Device |
KR101504544B1 (ko) | 2013-03-29 | 2015-03-20 | 삼한박막진공 주식회사 | 패턴 형성용 마스크 및 이를 이용한 패턴 형성 방법 |
CN106995911B (zh) | 2013-12-10 | 2020-07-31 | 应用材料公司 | 蒸发源、沉积设备以及用于蒸发有机材料的方法 |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
CN106537576B (zh) * | 2014-04-01 | 2019-08-27 | 应用材料公司 | 整合式金属间隔垫与气隙互连 |
US10153191B2 (en) | 2014-05-09 | 2018-12-11 | Applied Materials, Inc. | Substrate carrier system and method for using the same |
WO2015191543A1 (en) | 2014-06-10 | 2015-12-17 | Applied Materials Israel, Ltd. | Scanning an object using multiple mechanical stages |
US9612522B2 (en) | 2014-07-11 | 2017-04-04 | Applied Materials, Inc. | Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor |
KR20160015050A (ko) * | 2014-07-30 | 2016-02-12 | 엘지전자 주식회사 | 반지형 이동 단말기 |
TWI632437B (zh) * | 2014-11-07 | 2018-08-11 | 羅門哈斯電子材料有限公司 | 用於形成凸紋影像的方法 |
KR20170091094A (ko) * | 2014-12-01 | 2017-08-08 | 허니웰 인터내셔널 인코포레이티드 | 카보실레인 중합체 |
KR102310120B1 (ko) * | 2015-01-30 | 2021-10-08 | 삼성전자주식회사 | 하드마스크 물질막의 형성 방법 |
WO2016185234A1 (en) | 2015-05-15 | 2016-11-24 | Applied Materials, Inc. | Masking device for use in a lithium deposition process in the manufacturing of thin film batteries, apparatus configured for a lithium deposition process, method for manufacturing electrodes of thin film batteries, and thin film battery |
US10269623B2 (en) * | 2015-06-22 | 2019-04-23 | Intel Corporation | Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (BEOL) interconnects |
US9455177B1 (en) * | 2015-08-31 | 2016-09-27 | Dow Global Technologies Llc | Contact hole formation methods |
US10081036B2 (en) | 2016-09-19 | 2018-09-25 | Applied Materials, Inc. | Methods and systems for liquid particle prequalification |
US11024538B2 (en) * | 2016-12-31 | 2021-06-01 | Intel Corporation | Hardened plug for improved shorting margin |
JP6699903B2 (ja) * | 2017-01-27 | 2020-05-27 | 株式会社東芝 | パターン形成方法、半導体装置及びその製造方法 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US20180261686A1 (en) | 2017-03-13 | 2018-09-13 | Applied Materials, Inc. | Transistor sidewall formation process |
KR102140569B1 (ko) | 2017-03-17 | 2020-08-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 캐리어, 진공 시스템 및 진공 시스템을 동작시키는 방법 |
US10422984B2 (en) | 2017-05-12 | 2019-09-24 | Applied Materials, Inc. | Flexible mode scanning optical microscopy and inspection system |
US10745282B2 (en) | 2017-06-08 | 2020-08-18 | Applied Materials, Inc. | Diamond-like carbon film |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
TWI782077B (zh) | 2017-09-11 | 2022-11-01 | 美商應用材料股份有限公司 | 光罩清潔製程 |
TWI796358B (zh) | 2017-09-18 | 2023-03-21 | 美商應用材料股份有限公司 | 選擇性蝕刻的自對準通孔製程 |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
TWI821300B (zh) | 2018-06-19 | 2023-11-11 | 美商應用材料股份有限公司 | 具有護罩座的沉積系統 |
US11629402B2 (en) | 2019-04-16 | 2023-04-18 | Applied Materials, Inc. | Atomic layer deposition on optical structures |
-
2020
- 2020-02-19 KR KR1020217037035A patent/KR102693845B1/ko active IP Right Grant
- 2020-02-19 US US16/795,232 patent/US11572619B2/en active Active
- 2020-02-19 CN CN202080027992.0A patent/CN113677825B/zh active Active
- 2020-02-19 EP EP20791622.2A patent/EP3969633A4/en active Pending
- 2020-02-19 WO PCT/US2020/018798 patent/WO2020214238A1/en unknown
- 2020-02-19 JP JP2021560868A patent/JP7364688B2/ja active Active
- 2020-03-11 TW TW109107944A patent/TWI831940B/zh active
-
2023
- 2023-01-06 US US18/094,265 patent/US20230151479A1/en active Pending
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI653761B (zh) * | 2017-07-31 | 2019-03-11 | 台灣積體電路製造股份有限公司 | 半導體裝置及其製造方法 |
Also Published As
Publication number | Publication date |
---|---|
JP7364688B2 (ja) | 2023-10-18 |
CN113677825A (zh) | 2021-11-19 |
EP3969633A4 (en) | 2023-12-06 |
KR102693845B1 (ko) | 2024-08-08 |
US20230151479A1 (en) | 2023-05-18 |
WO2020214238A1 (en) | 2020-10-22 |
TW202046386A (zh) | 2020-12-16 |
CN113677825B (zh) | 2023-10-24 |
EP3969633A1 (en) | 2022-03-23 |
US11572619B2 (en) | 2023-02-07 |
JP2022529255A (ja) | 2022-06-20 |
US20200332414A1 (en) | 2020-10-22 |
KR20210142204A (ko) | 2021-11-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11629402B2 (en) | Atomic layer deposition on optical structures | |
US9214377B2 (en) | Methods for silicon recess structures in a substrate by utilizing a doping layer | |
KR102164568B1 (ko) | 낮은-k 유전 필름을 패턴화시키는 방법 | |
KR102513424B1 (ko) | 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스 | |
US20230151479A1 (en) | Method of thin film deposition in trenches | |
US8759223B2 (en) | Double patterning etching process | |
CN101155648B (zh) | 衬底表面和室表面的蚀刻剂处理工艺 | |
US20060003544A1 (en) | Methods of forming trench isolation regions | |
US20050061768A1 (en) | Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum and methods of forming trench isolation within a semiconductor substrate | |
US20150014807A1 (en) | Method of forming a shallow trench isolation structure | |
KR102462349B1 (ko) | 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법 | |
JP2010534924A (ja) | 基板ギャップ内に犠牲酸化物ライナを形成する酸素sacvd | |
KR20180111696A (ko) | 비정질 실리콘 코어에게 하드 마스크층을 제공하는 것에 의한 반도체 장치의 형성 | |
CN116670802A (zh) | 用于半导体装置形成的底层膜 | |
JP2003511857A (ja) | 一様な浅いトレンチ・エッチング・プロファイルのための方法 | |
US10741393B2 (en) | Methods for bottom up fin structure formation | |
US8314033B2 (en) | Method of patterning a low-k dielectric film | |
US7795151B2 (en) | Methods of forming a trench having side surfaces including a uniform slope | |
TWI697958B (zh) | 用於蝕刻遮罩與鰭片結構形成之方法 |