JP7364688B2 - トレンチに薄膜を堆積する方法 - Google Patents

トレンチに薄膜を堆積する方法 Download PDF

Info

Publication number
JP7364688B2
JP7364688B2 JP2021560868A JP2021560868A JP7364688B2 JP 7364688 B2 JP7364688 B2 JP 7364688B2 JP 2021560868 A JP2021560868 A JP 2021560868A JP 2021560868 A JP2021560868 A JP 2021560868A JP 7364688 B2 JP7364688 B2 JP 7364688B2
Authority
JP
Japan
Prior art keywords
layer
mask
trenches
curing process
applying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021560868A
Other languages
English (en)
Other versions
JP2022529255A (ja
Inventor
チンルイ クオ,
ルドヴィーク ゴデット,
ティマーマン タイセン, ラトガー マイヤー
ヨンアン シュー,
ジェンハン ヤン,
ジエン アン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022529255A publication Critical patent/JP2022529255A/ja
Application granted granted Critical
Publication of JP7364688B2 publication Critical patent/JP7364688B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1847Manufacturing methods
    • G02B5/1857Manufacturing methods using exposure or etching means, e.g. holography, photolithography, exposure to electron or ion beams
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/0001Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings specially adapted for lighting devices or systems
    • G02B6/0011Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings specially adapted for lighting devices or systems the light guides being planar or of plate-like form
    • G02B6/0065Manufacturing aspects; Material aspects

Landscapes

  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Micromachines (AREA)

Description

本開示の実施態様は、概して、方法、より具体的には、トレンチに薄膜を堆積する方法に関する。
仮想又は拡張現実セットなどの光学装置では、仮想の画像を結合し、内部全反射を通じてガラス基板の内部に光を運び、そして観察者の目の位置に到達したときに画像を結合するのに、導波結合器が使用されることが多い。光の結合及び分離について、導波結合器の傾斜した特徴部及びトレンチは通常、光回折の格子として適用される。ライン(フィン)の配向が光の伝搬方向を制御するのに対し、傾斜角は所望の回折次数の効率を制御する。
トレンチでの選択的堆積は、光学装置にとって重要な産業上の利用可能性を有する。これらの装置の適切な機能を確実にするためには、堆積された膜の材料特性、例えば屈折率を正確に制御することが必要である。さらに、光学構造体上への入射光の適切な回折、反射、及び屈折を確実にするためには、望ましくないボイド又は孔を伴わない膜の成長が必要とされる。よって、構造体の正しい部分が所望の材料特性を有する膜を確実に受け取るために、選択性とともに、膜の成長さえも必要とされる。
従来の選択的堆積プロセスは、余剰の膜成長を除去し、膜成長が確実にワークピースの所望の部分でのみ生じるように、化学機械研磨(CMP)技法を含むことが多い。しかしながら、CMP技法は表面レベルの技法であるため、CMP技法はトレンチにおける膜成長を除去することができない。また、CMP中の厳しい機械的条件は、ワークピース上の下層構造を損傷する可能性がある。
したがって、トレンチを有するワークピース上に層を選択的に堆積するための改善された方法が必要である。
一又は複数の実施態様では、基板上に配置された第1の層上にマスクを適用することであって、マスクが、第1の層の第1の部分を覆い、第1の層の第2の部分を曝露されたままにする、マスクを適用することと、第1の層の第2の部分上に第2の層を堆積することと、第1の層の第1の部分からマスクを除去することであって、第1の層の第1の部分が曝露され、第1の層の第2の部分が、上に堆積された第2の層を有する、マスクを除去することと、第2の層を硬化プロセスに曝露することと、を含む、ワークピースを処理するための方法が提供される。
他の実施態様では、未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、第2の層上にマスクを適用することであって、マスクが、第2の層の第1の部分を覆い、第2の層の第2の部分を曝露されたままにする、マスクを適用することと、マスクと第2の層の第2の部分とを硬化プロセスに曝露することであって、マスクが第2の層の第1の部分を硬化プロセスから遮蔽し、その一方、第2の層の第2の部分が硬化プロセス中に少なくとも部分的に硬化され、硬化プロセスの後に、第2の層の第1の部分が未硬化組成物を含み、第2の層の第2の部分が未硬化組成物から形成された硬化組成物を含む、マスクと第2の層の第2の部分とを硬化プロセスに曝露することと、マスクと未硬化組成物を含む第2の層の第1の部分とを除去することと、を含む、ワークピースを処理するための方法が提供される。
他の実施態様では、未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、第2の層上にマスクを適用することであって、マスクが、第2の層の第1の部分を覆い、第2の層の第2の部分を曝露されたままにする、マスクを適用することと、マスクと第2の層の第2の部分とをエッチングプロセスに曝露することであって、マスクが第2の層の第1の部分をエッチングプロセスから遮蔽し、その一方、第2の層の第2の部分がエッチングプロセス中に少なくとも部分的にエッチングされる、マスクと第2の層の第2の部分とをエッチングプロセスに曝露することと、マスクを除去することと、を含む、ワークピースを処理するための方法が提供される。
開示される方法は、CMPプロセスを必要とすることなく、トレンチでの選択的堆積を可能にする。本明細書に記載される選択的堆積は、一部のトレンチでの堆積を可能にし、他のトレンチでの堆積を防止する。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施態様を参照することによって得られ、それらの実施態様の一部が添付図面に示される。しかし、添付図面は例示的な実施態様を示しているにすぎず、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施態様も許容され得ることに留意されたい。
本明細書に記載及び検討される一又は複数の実施態様による、マスクの堆積を含む処理中に異なる間隔で処理されるワークピースの概略図を示す。 本明細書に記載及び検討される一又は複数の実施態様による、マスクを使用してワークピース上に第2の層を堆積させるための方法工程のフロー図である。 本明細書に記載及び検討される一又は複数の実施態様による、マスクの硬化を含む処理中に異なる間隔で処理されるワークピースの概略図を示す。 本明細書に記載及び検討される一又は複数の実施態様による、硬化プロセス及びマスクを使用してワークピース上に第2の層を堆積させるための方法工程のフロー図である。 本明細書中に記載及び検討される一又は複数の実施態様による、マスクのエッチングを含む処理中に異なる間隔で処理されるワークピースの概略図を示す。 本明細書に記載及び検討される一又は複数の実施態様によるエッチング処理、マスク及びエッチングプロセスを使用してワークピース上に第2の層を堆積させるための方法工程のフロー図である。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一又は複数の実施態様の要素及び特徴は、他の実施態様に有益に組み込まれ得ると考えられる。
本開示の実施態様は、概して、複数の特徴部及びトレンチを有するワークピースを処理することに関する。マスクと硬化とエッチングとの組み合わせは、ワークピースのさまざまな部分への選択的堆積を可能にする。膜は、ワークピースの特定の部分上にのみ選択的に堆積され、一部のトレンチを充填し、他は充填しない。本明細書で開示される実施態様は、特定のトレンチに膜材料を選択的に堆積し、その一方、他のトレンチへの堆積を防止することに特に有用であるが、これに限定されない。
本明細書で使用される場合、「約」という用語は、公称値から+/-10%の変動を指す。そのような変動は本明細書で提供される任意の値に含まれ得ることを理解されたい。
マスク堆積とそれに続く第2の層の堆積
図1Aは、基板102上に配置された第1の層110を含むワークピース100を示す。第1の層110は、単結晶シリコン(Si)、ポリシリコン、非晶質シリコン、窒化ケイ素(SiN)、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、溶融シリカ、一又は複数の金属酸化物、それらのドープされた誘導体、又はそれらの組み合わせを含み得る。第1の層110は、中に形成された複数の構造体114、115を含み得る。複数の構造体114、115は、垂直フィン、傾斜フィン、又はピラー(例えばナノピラー)を含み得る。
複数のトレンチ112、113は、第1の層110内で及び構造体114、115間で、形成されるかそうでなければ規定される。トレンチ112、113は、一又は複数の通路、バイアス、空間、間隙、ボイド、又は構造体114、115のいずれかに隣接する孔を含む。トレンチ112、113は、第1の層110の表面に対して角度θ、例えば約15°から約75°であり得る。いくつかの実施態様では、角度θは、約15°、約20°、約25°、又は約30°から約45°、約55°、約65°、又は約75°であり得る。各トレンチ112、113は、隣接するトレンチ間で同じ又は異なる間隔を有し得る。各トレンチ112、113は、他のトレンチと同じ又は異なる幅を有し得る。各トレンチ112、113は、他のトレンチと同じ又は異なる深さを有し得る。各トレンチ112、113は、他の空間と同じ又は異なる角度θを有し得る。トレンチ112、113の深さは、約100nmから約1umまでさまざまである可能性があり、トレンチの深さ及びトレンチからトレンチの間隔は、約50nmから約600nmである。
ワークピース100は、第2の複数の構造体114と、第1の層110の第2の部分118に配置された第2の複数のトレンチ112とを有し、構造体はトレンチによって分離される。第1の複数のトレンチ113は、本明細書に記載及び検討される一又は複数の実施態様による、第1の層110の表面110Sに対して約15°から約75°の角度θをなす少なくとも1つのトレンチを有する。第2の複数のトレンチ112は、本明細書に記載及び検討される一又は複数の実施態様による、第1の層110の表面に対して約15°から約75°の角度θをなす少なくとも1つのトレンチを有する。第1のトレンチ113及び第2のトレンチ12の角度θは、互いに同じであっても又は異なっていてもよい。
いくつかの実施態様では、第1の層110は、基板102の表面であり、基板102は、一又は複数の構造体114、115を含み得る。基板102は、上に堆積される材料、層、膜等を有することができるウエハ又はパネル基板を含み得る。基板102は、シリコン(ドープされているか又はされていない)、結晶シリコン、酸化ケイ素、ドープされているかされていないポリシリコンなど、ゲルマニウム(Ge)基板、シリコンゲルマニウム(SiGe)基板、ヒ化ガリウム(GaAs)基板などのIII-V族化合物基板、炭化ケイ素(SiC)基板、パターン化又は非パターン化シリコン・オン・インシュレータ(SOI)基板、炭素がドープされた酸化物、窒化ケイ素、太陽電池アレイ、ソーラーパネル、発光ダイオード(LED)基板、又は、金属、金属合金、及び他の導電性材料などの、任意の他の材料を含み得る。いくつかの例では、基板102は、基板ホルダ又は基板ペデスタル、チャックプレートなどの上に配置され得る。基板102は、複数の層又は膜、例えば半絶縁性材料及び半導体材料を含むことができ、ここで、半絶縁性材料は半導体材料よりも高い抵抗率を有する。基板102は、特定の大きさ又は形状(例えば、円形、長方形、又は正方形)に限定されない。いくつかの例では、基板102は円形であり、約100mmから約450mmの直径を有する。
図2は、本明細書で記載及び検討される一又は複数の実施態様による、マスク120を使用して第1の層110上に第2の層130を堆積させるための方法工程150のフロー図である。方法150の工程は図1A-1D及び2を参照しつつ説明されているが、当業者は、方法工程を任意の順序で実施するよう構成されたいかなるシステムも本明細書で記載されている実施態様の範囲に含まれることを理解するであろう。
方法150は、工程152で開始し、ここで、マスク120は、図1Bに示すとおり、第1の層110上に適用され、設置され、堆積され、形成され、そうでなければ配置される。マスク120は、下層、例えば第1の層110に置き換えられ得る所定のパターンを有する。マスク120は、第1の層110の第1の部分116を覆い、第1の層の第2の部分118を曝露されたままにする。マスク120は、コンタクトマスク、近接マスク、プロジェクションマスク、又はダイシングテープであり得るか又はそれを含み得る。マスク120は、処理チャンバから分離され得るか、又は可動部分として処理チャンバの内部にあり得る。いくつかの例では、マスク120は、UV硬化プロセスにおいてフォトマスクであり得るか又はそれを含み得、UVチャンバ又はフォトリソグラフィツール中に組み込まれ得る。
マスク120は、約10μmから約1mm、例えば、約100μmから約500μmの厚さを有する。マスク120の厚さは、マスクのエッジ近くに非均一な堆積を生成し得るシャドーイング効果を低減するよう設計され得る。例えば、マスク120は、比較的小さな厚さ、例えば約100μmから約150μmを有して、シャドーイング効果を低減する。
方法150の工程154では、第2の層130は、図1Cに示すように、マスク120と同様に、第1の層110の第2の部分118上に堆積される。第2の層130は、第2の複数のトレンチ112内に少なくとも部分的に堆積される。マスク120は、第1の層110の第1の部分116を覆い、第1の部分116上に堆積している第2の層130から第1の層110の第1の部分116を実質的に又は完全に保護又は遮蔽することができる。いくつかの例では、第2の層130の材料の残量は、第1の層110の第1の部分116を最終的に汚染し得る。また、第2の層130の組成と第2の層130を堆積させるか層でなければ形成するのに使用される特定の堆積プロセスとに応じて、マスク120は、第2の層130と同じ材料の層132を含む可能性があり、かつ/又はさまざまな副生成物、微粒子、及び/又はその上の他の汚染物質を含有する可能性がある。
第2の層130は、化学気相堆積(CVD)、プラズマCVD(PE-CVD)、準大気圧CVD(SA-CVD)、高密度プラズマCVD(HDP-CVD)、流動性CVD(FCVD(登録商標)プロセス)、原子層堆積(ALD)、炉ALD、熱ALD、プラズマALD(PE-ALD)、物理的気相堆積(PVD)、イオンビーム堆積、スピンコーティング、又はそれらの任意の組み合わせによって堆積される。第2の層130は、スピンオンカーボン、エポキシ、ナフタレン樹脂(C10)、有機平坦化層(OPL)、ポリ(メチルメタクリレート)(PMMA)、ポリシラザン、ポリシロキサン、フォトレジスト、又は電子ビーム(eビーム)レジストなどのコーティング材料を含む。第2の層130は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(SiN)、炭化ケイ素(SiC)、酸炭化ケイ素(SiOC)、シリコンヒドロキシニトリド(silicon hydroxynitride)(SiOHN)、アモルファスシリコン(α-Si)、ポリシリコン、シリコン含有反射防止コーティング(SiARC)、酸化アルミニウム(Al)、炭素(C)、カーボンヒドロキシド(carbon hydroxide)(COH)、それらの合金、それらのドープされた誘導体、又はそれらの任意の組み合わせを含み得る。一又は複数の例では、第2の層130は、約1.05から約4.50の屈折率(RI)を有し得る。第2の層130の光学特性及び間隙充填特性は、ポリマー型及び官能基によって調整することができる。例えば、メチル(CH-)基又はケージ状水素シルセスキオキサン(HSQ)基は、第2の層130のRIを低下させると知られている。
シリコン前駆体、例えば、トリシリルアミン(TSA)(N(SiH)、シラン、テトラシラン(Si10)、テトラエチルオルトシリケート(TEOS)、テトラメトキシシラン(TMOS)、又はオクタメチルシクロテトラシロキサン(OMCTS)は、シリコンを含有する第2の層130、例えば、ケイ素、酸化ケイ素、又は酸窒化ケイ素を、CVDプロセス中に堆積するのに使用され得る。トリシリルアミン(TSA)などのシリコン前駆体と、アンモニア(NH)などの窒素前駆体は、CVDプロセス中に酸窒化ケイ素層を堆積するのに使用され得る。ポリシロキサンなどのシリコン前駆体は、スピンオンプロセス中に酸化ケイ素層を堆積するのに使用され得る。
第2の層130の堆積は、約23℃から約400℃の基板温度又は処理チャンバ温度で実施され得る。例えば、CVD又はALDプロセスは、約23℃から約100℃の基板温度又は処理チャンバ温度で実施され得る。スピンコーティングプロセスは、約23℃の基板温度又は処理チャンバ温度で実施され得る。ウェットエッチングは、マスク120の下に存在する第2の層の残留堆積物を除去するために、第2の層130の堆積後に実施され得る。
方法150の工程156では、マスク120は、第1の層110の第1の部分116から除去される。その後、第1の層110の第1の部分116は、曝露されるか又はむき出しのままにされ、第1の層110の第2の部分118は、図1Dに示すとおり、上に堆積される第2の層130を有する。さまざまな材料(例えば層132)、微粒子、又はマスク120上に含有される他の汚染物質は、マスク120を除去する前及び/又は同時に除去され得る。マスク120及び第2の層130の第1の部分116は、本明細書で記載及び検討される一又は複数の実施態様に従って、同じ処理工程で除去される。マスク120及び第2の層130の第1の部分116は、本明細書で記載及び検討される一又は複数の実施態様に従って、異なる処理工程で連続的に除去される。
一又は複数の実施態様では、方法100は、マスク120の除去に続くエッチングプロセス中に、残留材料を第1の層110の第1の部分116から除去することを含み得る。エッチングプロセスは、ウェットエッチングプロセスを含むことができ、残留材料を、フッ化水素酸(HF)、リン酸(HPO)、一又は複数の水酸化物(例えば、水酸化ナトリウム(NaOH)、水酸化カリウム(KOH)、水酸化リチウム(LiOH)、水酸化アンモニウム(NHOH))、又はそれらの塩を含有する溶液に曝露することを含む。約50:1から約1,000:1(水中)の濃度を有する希釈フッ化水素酸(DHF)溶液は、例えばSiONを含む第2の層130の場合など、エッチングプロセス中に使用され得る。エッチングプロセスは、ドライエッチングプロセスであり得、残留材料を、SiONを含む第2の層130の場合など、フッ素(F)、塩素(Cl)、それらの化合物、それらのイオン、又はそれらの任意の組み合わせを含有するプラズマに曝露することを含む。
方法150の工程158では、第2の層130は、図1Eに示すとおり、硬化組成物131に変換される。硬化プロセスは、第2の層130から望ましくない溶媒を排除し、第2の層を固化及び安定化し、また第2の層の化学的及び光学的特性を改質し、第2の層を硬化組成物131に変換することができる。硬化プロセス又は処理は、いくつかの実施態様に従って、熱硬化プロセス、紫外線(UV)硬化プロセス、プラズマ支援処理プロセス、イオンビーム処理プロセス、電子ビーム(eビーム)処理、又はそれらの任意の組み合わせであり得るか又はそれらを含み得る。
硬化プロセスは、約23℃から約400℃の温度で実施され得る。UV光が適用される場合、UV波長は、約190nmから約500nmの広帯域波長、又は約193nm、248nm、又は365nmの波長を有する単一波長エキシマレーザであり得る。UV硬化時間は、約1分から約10分の間で変動し得る。第2の層130は、本明細書で記載及び検討される一又は複数の実施態様に従って、硬化プロセス中にオゾン(O)に曝露される。いくつかの実施態様では、硬化プロセスは、処理又はプロセス中に第2の層130を一又は複数の処理ガス又は化合物、例えば、アルゴン(Ar)、ヘリウム(He)、酸素(O)、オゾン、水素ガス(H)、窒素ガス(N)、アンモニア、水、エチレン(C)、アセチレン(C)、又はそれらの任意の組み合わせに曝露することを含み得る。硬化プロセスは、特定の用途に必要な第2の層130の膜組成及び応力を改質するために使用される。第2の層130の光学特性は、材料設計(ポリマー及び官能基、結合剤、並びに溶媒の選択)によって調整し、架橋、溶媒蒸発、及びナノ細孔性の形成を制御することができる。さらに、硬化組成物131は、未改質の第2の層130よりも、ワークピース100からの除去が容易であるか又は困難である。
例えば、FCVD堆積SiONHを含む第2の層130は、SiOを含む硬化組成物131に硬化され得る。さらなる例では、スピンコーティング堆積ポリマーを含む第2の層130と溶媒層は、CHOを含む硬化組成物131に硬化され得る。ここで、硬化組成物は架橋ポリマーを含む。
一又は複数の実施態様では、第2の層130は、約23℃から約100℃の温度でTSA/NH/O前駆体を使用するFCVDを使用して堆積され、第2の層は、オゾンが適用される間に、約23℃から約400℃の間の温度でベイキングプロセスを使用して硬化され、DHFを含むウェットエッチングが適用される。
他の実施態様では、第2の層130はスピンコーティングを使用して堆積され、第2の層は、C及びHを含むポリマーを含む有機平坦化層(OPL)を含み、第2の層は、約250℃から約400℃の温度でベイキングプロセスを使用して硬化され、硫酸過酸化物混合物(sulfuric peroxide mix)(SPM)を含むウェットエッチングが適用される。
上記のとおり、マスクは第1の層上に堆積される。第2の層は、ワークピース上に堆積されるが、マスクは、マスクの下に配置された第1の複数のトレンチへの第2の層の堆積を防止する。マスクは除去され、よって、第2の層は、第2の層の材料が第2の複数のトレンチには存在するが、第1の複数のトレンチには存在しないように、成長する。
マスクは、第1の複数のトレンチが第2の層で充填されることから保護し、その一方、第2の複数のトレンチが第2の層で充填されることは依然として可能にする。上記の方法は、ワークピースから除去するために硬化を必要としない膜にうまく機能する。
第2の層の堆積に続くマスクの堆積
図3Aは、ワークピース200を示し、ワークピース200は、図1Aでワークピース100について上で討論されているように、基板102上に配置され、複数のトレンチ112、113と一又は複数の構造体114、115とを有する第1の層110を含む。
図4は、本明細書で記載及び検討される一又は複数の実施態様による、マスク120を硬化及び使用することを含むワークピース200上に第2の層230を堆積するための方法250の工程のフロー図である。方法250の工程は図3A-3E及び4を参照しつつ説明されているが、当業者は、方法工程を任意の順序で実施するよう構成されたいかなるシステムも本明細書で記載されている実施態様の範囲に含まれることを理解するであろう。
方法250は工程252で開始する。ここで、未硬化組成物を含有する第2の層230は、図3Bに示すとおり、第1の層110上に堆積される。第2の層230は、第1の複数のトレンチ113及び第2の複数のトレンチ112を充填する。第2の層230はまた、第1の層110に沿って延び、第1の層110を覆う。第2の層230は、上の図1Bの第2の層130の堆積についての討論で開示される方法のいずれかによって堆積される。第2の層230の材料は、上記の第2の層130のものと類似している。第2の層230の堆積は、上記の第2の層130のものと類似する基板温度又は処理チャンバ温度で実施され得る。
方法250の工程254では、マスク120は、図3Cに示すとおり、第2の層230上に適用され、設置され、堆積され、そうでなければ配置される。マスク120の含有量及びマスクの適用は、上記の工程152のものと類似している。
方法250の工程256では、マスク120と第2の層230の第2の部分218とを含むワークピース200は、図3Dに示すとおり、硬化プロセスに曝露される。マスク120は、硬化プロセスから第2の層230の第1の部分216を遮蔽又はそうでなければ保護し、その一方、第2の層230の第2の部分218は、硬化プロセス中に少なくとも部分的に硬化されるか、実質的に硬化されるか、又は完全に硬化される。硬化プロセスの後、第2の層230の第1の部分216は、未硬化組成物を含有する。第2の層230の第2の部分218は、硬化プロセス中に未硬化組成物から形成される硬化組成物231を含有する。硬化プロセスは、上記の工程158のものと類似している。
方法250の工程258では、マスク120と未硬化組成物を含有する第2の層230の第1の部分216とは、図3Eに示すとおり、ワークピース200から除去される。マスク120は、第2の層230の第1の部分216の除去前に除去され得る。マスク120と第2の層230の第1の部分216とは、同じプロセス中に除去され得る。層の第1の部分216は、エッチングプロセスによって除去され得る。エッチングプロセスは、ウェットエッチングプロセスを含むことができ、未硬化材料を、フッ化水素酸、リン酸、一又は複数の水酸化物(例えば、水酸化ナトリウム、水酸化カリウム、水酸化リチウム、水酸化アンモニウム)、又はそれらの塩を含有する溶液に曝露することを含む。一又は複数の例では、約50:1から約1,000:1(水中)の濃度を有するDHF溶液が、エッチングプロセス中に使用される。エッチングプロセスは、ドライエッチングプロセスを含むことができ、未硬化材料を、酸素、フッ素、塩素、それらの化合物、それらのイオン、又はそれらの任意の組み合わせを含有するプラズマに曝露することを含む。
他の実施態様では、第2の層330は、約23℃から約100℃の温度でTSA/NH/O前駆体を使用するFCVDを使用して堆積され、第2の層は、紫外線(UV)光が約1分間から約10分間、約193nmから約500nmの波長である間に、約0℃から約400℃の温度でベイキングプロセスを使用して硬化され、ドライエッチング又はDHFを含むウェットエッチングが適用される。
他の実施態様では、第2の層330は、約23℃から約100℃の温度でOMCTS/TMOS/O前駆体を使用するFCVDを使用して堆積され、第2の層は、紫外線(UV)光が約1分間から約10分間、約193nmから約500nmの波長で適用される間に、約0℃から約400℃の温度でベイキングプロセスを使用して硬化され、酸素プラズマを含むドライエッチングが実施される。
上記のとおり、第2の層は、第1の層上に堆積され、第1の複数のトレンチと第2の複数のトレンチとの両方を充填する。マスクは、第2の層の第1の部分の上方に設置され、マスクは、硬化プロセスから第1の層を保護する。硬化プロセスは、第2の層の曝露された第2の部分を硬化組成物に変換する。マスクが除去されると、第2の層の第1の部分はマスクと共に除去される。残存する硬化組成物は第2の複数のトレンチを充填するが、第1の複数のトレンチは空である。
マスクは、第1の複数のトレンチが第2の層で硬化されることから保護し、その一方、第2の複数のトレンチが硬化された第2の層で充填されることは依然として可能にする。上記の方法は、堆積後に硬化を必要とする膜にうまく機能する。
エッチングプロセスに続く第2の層の堆積
図5Aは、ワークピース300を示し、ワークピース300は、図1Aでワークピース100について上で討論されているように、基板102上に配置され、複数のトレンチ112、113と複数の構造体114、115とを有する第1の層110を含む。
図6は、本明細書で記載及び検討される一又は複数の実施態様による、マスク120及びエッチングプロセスを使用することを含むワークピース300上に第2の層330を堆積するための方法350の工程のフロー図である。方法350の工程は図5A-5G及び6を参照しつつ説明されているが、当業者は、方法工程を任意の順序で実施するよう構成されたいかなるシステムも本明細書で記載されている実施態様の範囲に含まれることを理解するであろう。
方法350は工程352で開始する。ここで、未硬化組成物を含有する第2の層330は、図5Bに示すとおり、第1の層110上に堆積され、形成され、設置され、又はそうでなければ配置される。第2の層330は、第1の複数のトレンチ113及び第2の複数のトレンチ112を充填する。第2の層330はまた、第1の層110に沿って延び、第1の層110を覆う。第2の層330は、上の図1Bの討論で開示される方法のいずれかによって堆積される。第2の層330の材料は、上記の第2の層130のものと類似している。第2の層330の堆積は、上記の第2の層130のものと類似する基板温度又は処理チャンバ温度で実施され得る。
方法350の任意選択的な工程354では、第2の層330は、硬化プロセスに曝露され、図5Cに示すとおり、第2の層を改質された第2の層331に変換する。硬化プロセスは、上記の工程158に記載されるものと類似している。以下の工程は改質された第2の層331について言及するが、同じプロセスが、上記の硬化プロセスを伴わずに、堆積された第2の層330に適用することができることを理解されたい。
方法350の工程356では、マスク120は、図5Dに示すとおり、第2の層330上に適用され、設置され、堆積され、そうでなければ配置される。マスク120の堆積は、上記の工程152に記載されるものと類似している。
方法350の工程358では、マスク120と改質された第2の層331の第2の部分318とを含むワークピース300は、図5Eに示すとおり、エッチングプロセスに曝露される。マスク120は、エッチングプロセスから第2の層230の第1の部分316を遮蔽又はそうでなければ保護し、その一方、改質された第2の層331の第2の部分318は、少なくとも部分的にエッチングにより除去される。エッチングプロセスの後、改質された第2の層331の第1の部分316は、完全には除去されない。改質された第2の層331の第2の部分318は、少なくとも部分的に除去され、改質された第2の層は、第2の複数のトレンチ112から少なくとも部分的に除去される。
エッチングプロセスは、ウェットエッチング及び/又はドライエッチングを含み得る。ウェットエッチングは、ワークピース300をエッチング化学物質に曝露することを含み、これには、DHF、KOH、硫酸過酸化物混合物(sullfuric peroxide mix)(SPM)、リン酸、又は上記の任意の組み合わせが含まれ得る。ドライエッチングは、ワークピース300をエッチング化学物質に曝露することを含み、これには、フッ素系化学物質、塩素系化学物質、酸素系化学物質、又は上記の任意の組み合わせが含まれ得る。エッチングは、約23℃から約200℃の温度で実施され得る。
エッチング化学は、除去される改質された第2の層331の組成に基づく。例えば、DHF又はヒドロフルオロカーボン(HFC)は、SiOを含む改質された第2の層331を除去するために使用することができ、リン酸(HPO)は、SiNを含む改質された第2の層を除去するために使用することができ、硫酸過酸化物混合物(SPM)又は酸素プラズマは、炭素を含む改質された第2の層を除去するために使用することができる。
方法350の工程360では、マスク120は、図5Fに示すとおり、ワークピース300から除去される。マスク120は、上の工程156に記載されるプロセスと同様に除去される。
一又は複数の実施態様では、第2の層330は、スピンコーティングを使用して堆積され、第2の層は、ジアゾナフトキノン(DNQ)及び/又はノボラックを含み、第2の層は、紫外線(UV)光が約1分間から約10分間、約193nmから約500nmの波長で適用される間に硬化され、酸素プラズマを含むドライエッチングが適用される。
他の実施態様では、第2の層330は、スピンコーティングを使用して堆積され、第2の層は、ポリシロキサン又はポリシラザンを含み、第2の層は、紫外線(UV)光が約1分間から約10分間、約193nmから約500nmの波長で適用される間に、約0℃から約400℃の温度でベイキングプロセスを使用して硬化され、ドライエッチング又はウェットエッチングが実施される。
他の実施態様では、第2の層330はスピンコーティングを使用して堆積され、第2の層は、C及びHを含むポリマーを含む有機平坦化層(OPL)を含み、第2の層は、約250℃から約400℃の温度でベイキングプロセスを使用して硬化され、酸素プラズマを含むドライエッチングが適用される。
上記のとおり、第2の層は、第1の層上に堆積され、第1の複数のトレンチと第2の複数のトレンチとの両方を充填する。第2の層は硬化プロセスに曝露され、第2の層を改質された第2の層に変換する。マスクは、第2の層の第1の部分の上方に設置される。ワークピースは、エッチングプロセスに曝露され、これは、改質された第2の層の第2の部分を除去する。最終的に、マスクが除去される。残存する硬化組成物は第1の複数のトレンチを充填するが、第2の複数のトレンチは空である。
マスクは、第2の複数のトレンチが硬化された第2の層で充填されることから保護し、その一方、第1の複数のトレンチが硬化された第2の層で充填されることは依然として可能にする。上記の方法は、エッチングされる硬化を必要とする膜にうまく機能する。
一又は複数の実施態様では、プロセス150及び/又は250及び/又は350並びにそれらの任意の工程又は部分は、熱CVDチャンバ、PE-CVDチャンバ、高密度プラズマCVDチャンバ、低圧CVDチャンバ、減圧CVDチャンバ、又は大気圧CVDチャンバなどの、CVDチャンバ内で実施され得る。他の実施態様では、プロセス150及び/又は250及び/又は250並びにそれらの任意の工程又は部分は、PVDチャンバ、ALDチャンバ、PE-ALDチャンバ、エッチングチャンバ(熱又はプラズマ)、エピタキシチャンバ、アニールチャンバ、又は温度のモニタリングが有用であり得る任意の他の処理チャンバ内で実施され得る。処理チャンバの例には、カリフォルニア州サンタクララのApplied Materials Inc.から市販されている、AKT(登録商標)PECVDチャンバ、PRODUCERTMチャンバ、Eterna FCVD(登録商標)チャンバ、及びPRECISION 5000(登録商標)チャンバなどの、CVDチャンバが含まれ得る。
他の実施態様では、プロセス150及び/又は250及び/又は350並びにそれらの任意の工程又は部分、ワークピース200の表面は、ドライクリーニング処理に曝露されて、酸化物、炭素、微粒子、及び/又は他の汚染物質が除去される。ワークピース100、200、300を有意に損傷することなく基板から酸化物を除去する任意の適切なドライクリーニング処理プロセスが使用され得る。適切なドライクリーニング処理プロセスには、スパッタエッチングプロセス、プラズマベースの酸化物エッチングプロセス、又はそれらの組み合わせが含まれる。ドライクリーニング処理は、ワークピース100、200、300を、エッチャント及びプラズマ、イオン、ラジカル、又はそれらの組み合わせに曝露することを含み得る。エッチャントは、一又は複数の酸素、フッ素、塩素、窒素、それらのプラズマ、それらのイオン、それらのラジカル、又はそれらの任意の組み合わせであり得るか又はそれらを含み得る。ドライクリーニング処理は、ワークピース100、200、300を、三フッ化窒素(NF)とアンモニア(NH)の組み合わせから生成されたフッ素プラズマに曝露することを含む。他の考慮されるエッチングプロセスは、NF/NH誘導結合プラズマプロセス又はNF/NH容量結合プラズマプロセスを含む。
一又は複数の実施態様では、ドライクリーニング処理は、NF及びNHプラズマ副生成物への基板の同時曝露を含む、遠隔プラズマ支援型ドライエッチングプロセスである、プラズマベースの酸化物エッチング処理である。いくつかの例では、プラズマベースの酸化物エッチングプロセスは、カリフォルニア州サンタクララのApplied Materials、Inc.から市販されているSICONI(登録商標)エッチングプロセスを含み得る。SICONI(登録商標)エッチングプロセスは、カリフォルニア州サンタクララのApplied Materials、Inc.から市販されているSICONI(登録商標)Precleanチャンバ内で実施され得る。
遠隔プラズマを使用するいくつかの例では、ガス核種の励起により、プラズマ損傷のない基板処理が可能になる。遠隔プラズマエッチングは、酸化ケイ素層に対して、概ね共形であり、選択的であり得、よって、ケイ素がアモルファス、結晶性、又は多結晶性であるかに関わらず、ケイ素を容易にエッチングしない。遠隔プラズマプロセスは概して、材料が除去されるにつれて、ワークピース100、200、300の表面上で成長する固体副生成物を生成する。その後、固体副生成物は、ワークピース100、200、300の温度が上昇(例えば、約300℃まで)するときに、昇華によって除去することができる。プラズマエッチングプロセスは、ワークピース100、200、300の表面からの酸化物、微粒子、及び他の汚染物質の除去をもたらす。
いくつかの例では、ドライクリーニング処理プロセスは、遠隔プラズマ源(RPS)を使用して又はそれと流体的に結合して、処理チャンバ内でワークピース100、200、300に対して実施され得る。例えば、処理チャンバは、カリフォルニア州サンタクララのApplied Materials、Inc.から市販されているAKTIV Pre-Clean(登録商標)チャンバであり得る。他の例では、ドライクリーニング処理プロセスは、誘導結合プラズマ(ICP)源を使用してエッチングチャンバ内で実施され得る。例えば、エッチングチャンバは、カリフォルニア州サンタクララのApplied Materials、Inc.から市販されているCentura(登録商標)Advantedge(登録商標)Mesa(登録商標)Etchチャンバであり得る。あるいは、洗浄プロセスは、ラジカルベースの化学を利用してエッチングチャンバ内で実施され得る。ワークピース100、200、300は、ドライクリーニング処理プロセス中にエッチャントに曝露されて、約20分間以内、例えば約10分間の期間、汚染物質を除去する。
一又は複数の例では、堆積された膜の化学的特性は、フォトレジスト/ハードマスクに依拠する代わりに、選択性及びパターンを生成するのに利用される。一又は複数の例では、マスクは、ワークピース及び/又は基板を有する処理チャンバへ移す別個の、除去可能な、かつ/又は再利用可能な部品であり得るか、又は、ツール及び/又は処理チャンバ中のハードウェア部品として組み込まれ得る。他の実施態様では、2成分マスクは、ワークピース上に設置され、一緒に処理チャンバへ移されるか又は処理チャンバ設計に組み込まれる、コンタクトマスクであり得る。
本開示の実施態様は、以下の条項1-33のうちの一又は複数にさらに関する。
1. ワークピースを処理するための方法であって、基板上に配置された第1の層上にマスクを適用することであって、マスクが、第1の層の第1の部分を覆い、第1の層の第2の部分を曝露されたままにする、マスクを適用することと、第1の層の第2の部分上に第2の層を堆積することと、第1の層の第1の部分からマスクを除去することであって、第1の層の第1の部分が曝露され、第1の層の第2の部分が、上に堆積された第2の層を有する、マスクを除去することと、を含む、方法。
2.ワークピースを処理するための方法であって、未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、第2の層上にマスクを適用することであって、マスクが、第2の層の第1の部分を覆い、第2の層の第2の部分を曝露されたままにする、マスクを適用することと、マスクと第2の層の第2の部分とを硬化プロセスに曝露することであって、マスクが第2の層の第1の部分を硬化プロセスから遮蔽し、その一方、第2の層の第2の部分が硬化プロセス中に少なくとも部分的に硬化され、硬化プロセスの後に、第2の層の第1の部分が未硬化組成物を含み、第2の層の第2の部分が未硬化組成物から形成された硬化組成物を含む、マスクと第2の層の第2の部分とを硬化プロセスに曝露することと、マスクと、未硬化組成物を含む第2の層の第1の部分とを除去することと、を含む、方法。
3.ワークピースを処理するための方法であって、未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することであって、第1の層がその中に形成された一又は複数の構造体を含み、一又は複数の構造体が、垂直フィン、傾斜フィン、又はピラーを含む、第2の層を第1の層上に堆積することと、第2の層上にマスクを適用することであって、マスクが、第2の層の第1の部分を覆い、第2の層の第2の部分を曝露されたままにする、マスクを適用することと、マスクと第2の層の第2の部分とを硬化プロセスに曝露することであって、マスクが第2の層の第1の部分を硬化プロセスから遮蔽し、その一方、第2の層の第2の部分が硬化プロセス中に少なくとも部分的に硬化され、硬化プロセスの後に、第2の層の第1の部分が未硬化組成物を含み、第2の層の第2の部分が未硬化組成物から形成された硬化組成物を含む、マスクと第2の層の第2の部分とを硬化プロセスに曝露することと、マスクと、未硬化組成物を含む第2の層の第1の部分とを除去することと、を含む、方法。
4.ワークピースを処理するための方法であって、基板上に配置された第1の層上にマスクを適用することであって、マスクが、第1の層の第1の部分を覆い、第1の層の第2の部分を曝露されたままにする、マスクを適用することと、第1の層の第2の部分上に第2の層を堆積することと、第1の層の第1の部分からマスクを除去することであって、第1の層の第1の部分が曝露され、第1の層の第2の部分が、上に堆積された第2の層を有する、マスクを除去することと、第2の層を硬化プロセスに曝露することと、を含む、方法。
5.ワークピースを処理するための方法であって、未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、第2の層上にマスクを適用することであって、マスクが、第2の層の第1の部分を覆い、第2の層の第2の部分を曝露されたままにする、マスクを適用することと、マスクと第2の層の第2の部分とを硬化プロセスに曝露することであって、マスクが第2の層の第1の部分を硬化プロセスから遮蔽し、その一方、第2の層の第2の部分が硬化プロセス中に少なくとも部分的に硬化され、硬化プロセスの後に、第2の層の第1の部分が未硬化組成物を含み、第2の層の第2の部分が未硬化組成物から形成された硬化組成物を含む、マスクと第2の層の第2の部分とを硬化プロセスに曝露することと、マスクと、未硬化組成物を含む第2の層の第1の部分とを除去することと、を含む、方法。
6.ワークピースを処理するための方法であって、未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、第2の層上にマスクを適用することであって、マスクが、第2の層の第1の部分を覆い、第2の層の第2の部分を曝露されたままにする、マスクを適用することと、マスクと第2の層の第2の部分とをエッチングプロセスに曝露することであって、マスクが第2の層の第1の部分をエッチングプロセスから遮蔽し、その一方、第2の層の第2の部分がエッチングプロセス中に少なくとも部分的にエッチングされる、マスクと第2の層の第2の部分とをエッチングプロセスに曝露することと、マスクを除去することと、を含む、方法。
7.第1の層の第2の部分が、第2の複数のトレンチを含み、第2の複数のトレンチの少なくとも一つが、第1の層の表面に対して約15°から約75°の角度をなす、条項1から6のいずれか一項に記載の方法。
8.第1の層の第1の部分が、第1の複数のトレンチを含み、第1の複数のトレンチの少なくとも一つが、第1の層の表面に対して約15°から約75°の角度をなす、条項1から7のいずれか一項に記載の方法。
9.硬化プロセスが、熱硬化プロセス、紫外線硬化プロセス、プラズマ支援処理プロセス、イオンビーム処理プロセス、eビーム処理、ベイキング処理、及びそれらの任意の組み合わせからなる群より選択される、条項1から8のいずれか一項に記載の方法。
10.第2の層が硬化プロセス中にオゾンに曝露される、条項1から9のいずれか一項に記載の方法。
11.マスクの除去後、エッチングプロセス中に第1の層の第1の部分から残留材料を除去することをさらに含む、条項1から10のいずれか一項に記載の方法。
12.マスクと第2の層の第1の部分とが、同じプロセス中に除去される、条項1から11のいずれか一項に記載の方法。
13.第2の層の第1の部分が、エッチングプロセスによって除去される、条項1から12のいずれか一項に記載の方法。
14.マスクを第2の層上に適用する前に、硬化プロセスを用いて第2の層を硬化することをさらに含む、条項1から13のいずれか一項に記載の方法。
15.マスクの除去後、エッチングプロセス中に第1の層の第1の部分から残留材料を除去することをさらに含む、条項1から14のいずれか一項に記載の方法。
16.エッチングプロセスが、ウェットエッチングプロセスであり、フッ化水素酸、リン酸、水酸化物、又はそれらの塩を含む溶液に残留材料を曝露することを含む、条項1から15のいずれか一項に記載の方法。
17.エッチングプロセスが、ドライエッチングプロセスであり、フッ素、塩素、それらの化合物、それらのイオン、又はそれらの任意の組み合わせを含むプラズマに残留材料を曝露することを含む、条項1から16のいずれか一項に記載の方法。
18.熱硬化プロセス、紫外線硬化プロセス、プラズマ支援処理プロセス、イオンビーム処理プロセス、eビーム処理処理、又はそれらの任意の組み合わせ中に、第2の層を硬化することをさらに含む、条項1から17のいずれか一項に記載の方法。
19.第2の層が硬化プロセス中にオゾンに曝露される、条項1から18のいずれか一項に記載の方法。
20.第1の層がその中に形成される一又は複数の構造体を含む、条項1から19のいずれか一項に記載の方法。
21.一又は複数の構造体が、垂直フィン、傾斜フィン、又はピラーを含む、条項1から20のいずれか一項に記載の方法。
22.一又は複数の構造体が、第1の層の第2の部分内にある、条項1から21のいずれか一項に記載の方法。
23.第2の層が、一又は複数の構造体の間の空間内に堆積される、条項1から22のいずれか一項に記載の方法。
24.第2の層が、化学気相堆積、原子層堆積、物理的気相堆積、イオンビーム堆積、スピンコーティング、又はそれらの任意の組み合わせによって堆積される、条項1から23のいずれか一項に記載の方法。
25.第2の層が、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、酸炭化ケイ素、アモルファスシリコン、ポリシリコン、それらの合金、それらのドーパント誘導体、又はそれらの任意の組み合わせを含む、条項1から24のいずれか一項に記載の方法。
26.マスクが、コンタクトマスク、近接マスク、プロジェクションマスク、又はダイシングテープを含む、条項1から25のいずれか一項に記載の方法。
27.マスクが約10μmから1mm未満の厚さを有する、条項1から26のいずれか一項に記載の方法。
28.マスクが約50μmから約900μmの厚さを有する、条項1から27のいずれか一項に記載の方法。
29.マスクが約100μmから約500μmの厚さを有する、条項1から28のいずれか一項に記載の方法。
30.第1の層が基板の表面である、条項1から29のいずれか一項に記載の方法。
31.第2の層の第1の部分の除去前にマスクが除去される、条項1から30のいずれか一項に記載の方法。
32.マスクと第2の層の第1の部分とが、同じプロセス中に除去される、条項1から31のいずれか一項に記載の方法。
33.第2の層の第1の部分が、エッチングプロセスによって除去される、条項1から32のいずれか一項に記載の方法。
以上の説明は本開示の実施態様を対象としているが、本開示の基本的な範囲から逸脱することなしに、他の実施態様及びさらなる実施態様が考案されてよい。本開示の範囲は、以下の特許請求の範囲によって決定される。本文と矛盾しない範囲で、優先権書類及び/又は検査手順を含む、本明細書に記載されるすべての文書は、参照により本明細書に援用される。前述の一般的な記載及び具体的な実施態様から明らかなように、本開示の形態が図示及び説明されているが、本開示の精神及び範囲から逸脱することなく、さまざまな修正を行うことができる。したがって、本開示がそれによって限定されることを意図するものではない。同様に、用語「含む(comprising)」は、米国法の目的上、用語「含む(including)」と同義であると考えられる。同様に、構成、要素、又は要素のグループの前に「含む(comprising)」という移行句が付いている場合は常に、構成、要素、又は複数の要素の列挙に先行する移行句「本質的に~からなる(consisting essentially of)」、「~からなる(consisting of)」、「~からなる群より選択される(selected from the group of consisting of)」、又は「~である(is)」がついている同じ構成又は要素のグループも考慮すること、またその逆も同様であることが理解される。
特定の実施態様及び特徴部は、一組の数値上限及び一組の数値下限を使用して説明されてきた。任意の2つの値の組み合わせ、例えば、任意の下限値と任意の上限値の組み合わせ、任意の2つの下限値の組み合わせ、及び/又は任意の2つの上限値の組み合わせを含む範囲は、別途明記しない限り考慮されることを理解されたい。特定の下限値、上限値、及び範囲は、以下の一又は複数の請求項に記載される。

Claims (14)

  1. ワークピースを処理するための方法であって、
    基板上に配置された第1の層上にマスクを適用することであって、前記マスクが、前記第1の層の第1の部分を覆い、前記第1の層の第2の部分を曝露されたままにする、マスクを適用することと、
    前記第1の層の前記第2の部分上に第2の層を堆積することと、
    前記第1の層の前記第1の部分から前記マスクを除去することであって、前記第1の層の前記第1の部分が曝露され、前記第1の層の前記第2の部分が、上に堆積された前記第2の層を有する、前記マスクを除去することと、
    前記第2の層を硬化プロセスに曝露することと、
    を含み、
    前記第1の層の前記第1の部分が、第1の複数のトレンチを含み、前記第1の層の前記第2の部分が、第2の複数のトレンチを含み、
    前記第1の複数のトレンチの少なくとも一つが、前記第1の層の表面に対して15°から75°の角度をなす、
    方法。
  2. ワークピースを処理するための方法であって、
    基板上に配置された第1の層上にマスクを適用することであって、前記マスクが、前記第1の層の第1の部分を覆い、前記第1の層の第2の部分を曝露されたままにする、マスクを適用することと、
    前記第1の層の前記第2の部分上に第2の層を堆積することと、
    前記第1の層の前記第1の部分から前記マスクを除去することであって、前記第1の層の前記第1の部分が曝露され、前記第1の層の前記第2の部分が、上に堆積された前記第2の層を有する、前記マスクを除去することと、
    前記第2の層を硬化プロセスに曝露することと、
    を含み、
    前記第1の層の前記第1の部分が、第1の複数のトレンチを含み、前記第1の層の前記第2の部分が、第2の複数のトレンチを含み、
    前記第2の複数のトレンチの少なくとも一つが、前記第1の層の表面に対して15°から75°の角度をなす
    方法
  3. 前記硬化プロセスが、熱硬化プロセス、紫外線硬化プロセス、プラズマ支援処理プロセス、イオンビーム処理プロセス、eビーム処理、ベイキング処理、及びそれらの任意の組み合わせからなる群より選択される、請求項1又は2に記載の方法。
  4. 前記第2の層が、前記硬化プロセス中にオゾンに曝露される、請求項に記載の方法。
  5. 前記マスクの除去後、エッチングプロセス中に前記第1の層の前記第1の部分から残留材料を除去することをさらに含む、請求項1又は2に記載の方法。
  6. ワークピースを処理するための方法であって、
    未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、
    前記第2の層上にマスクを適用することであって、前記マスクが、前記第2の層の第1の部分を覆い、前記第2の層の第2の部分を曝露されたままにし、前記第1の層の第1の部分が、前記第2の層の前記第1の部分の下に配置され、前記第1の層の第2の部分が、前記第2の層の前記第2の部分の下に配置された、前記マスクを適用することと、
    前記マスクと前記第2の層の前記第2の部分とを硬化プロセスに曝露することであって、前記マスクが前記第2の層の前記第1の部分を前記硬化プロセスから遮蔽し、その一方、前記第2の層の前記第2の部分が前記硬化プロセス中に少なくとも部分的に硬化され、前記硬化プロセスの後に、前記第2の層の前記第1の部分が前記未硬化組成物を含み、前記第2の層の前記第2の部分が前記未硬化組成物から形成された硬化組成物を含む、前記マスクと前記第2の層の前記第2の部分とを前記硬化プロセスに曝露することと、
    前記マスクと、前記未硬化組成物を含む前記第2の層の前記第1の部分とを除去することと、
    を含み、
    前記第1の層の前記第1の部分が、第1の複数のトレンチを含み、前記第1の層の前記第2の部分が、第2の複数のトレンチを含み、
    前記第1の複数のトレンチの少なくとも一つが、前記第1の層の表面に対して15°から75°の角度をなす、
    方法。
  7. ワークピースを処理するための方法であって、
    未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、
    前記第2の層上にマスクを適用することであって、前記マスクが、前記第2の層の第1の部分を覆い、前記第2の層の第2の部分を曝露されたままにし、前記第1の層の第1の部分が、前記第2の層の前記第1の部分の下に配置され、前記第1の層の第2の部分が、前記第2の層の前記第2の部分の下に配置された、前記マスクを適用することと、
    前記マスクと前記第2の層の前記第2の部分とを硬化プロセスに曝露することであって、前記マスクが前記第2の層の前記第1の部分を前記硬化プロセスから遮蔽し、その一方、前記第2の層の前記第2の部分が前記硬化プロセス中に少なくとも部分的に硬化され、前記硬化プロセスの後に、前記第2の層の前記第1の部分が前記未硬化組成物を含み、前記第2の層の前記第2の部分が前記未硬化組成物から形成された硬化組成物を含む、前記マスクと前記第2の層の前記第2の部分とを前記硬化プロセスに曝露することと、
    前記マスクと、前記未硬化組成物を含む前記第2の層の前記第1の部分とを除去することと、
    を含み、
    前記第1の層の前記第1の部分が、第1の複数のトレンチを含み、前記第1の層の前記第2の部分が、第2の複数のトレンチを含み、
    前記第2の複数のトレンチの少なくとも一つが、前記第1の層の表面に対して15°から75°の角度をなす
    方法
  8. 前記マスクと前記第2の層の前記第1の部分とが、同じプロセス中に除去される、請求項6又は7に記載の方法。
  9. 前記第2の層の前記第1の部分が、エッチングプロセスにより除去される、請求項6又は7に記載の方法。
  10. 前記硬化プロセスが、熱硬化プロセス、紫外線硬化プロセス、プラズマ支援処理プロセス、イオンビーム処理プロセス、eビーム処理、ベイキング処理、及びそれらの任意の組み合わせからなる群より選択される、請求項6又は7に記載の方法。
  11. ワークピースを処理するための方法であって、
    未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、
    前記第2の層上にマスクを適用することであって、前記マスクが、前記第2の層の第1の部分を覆い、前記第2の層の第2の部分を曝露されたままにし、前記第1の層の第1の部分が、前記第2の層の前記第1の部分の下に配置され、前記第1の層の第2の部分が、前記第2の層の前記第2の部分の下に配置された、前記マスクを適用することと、
    前記マスクと前記第2の層の前記第2の部分とをエッチングプロセスに曝露することであって、前記マスクが前記第2の層の前記第1の部分をエッチングプロセスから遮蔽し、その一方、前記第2の層の前記第2の部分が前記エッチングプロセス中に少なくとも部分的にエッチングされる、前記マスクと前記第2の層の前記第2の部分とをエッチングプロセスに曝露することと、
    前記マスクを除去することと、
    を含み、
    前記第1の層の前記第1の部分が、第1の複数のトレンチを含み、前記第1の層の前記第2の部分が、第2の複数のトレンチを含み、
    前記第1の複数のトレンチの少なくとも一つが、前記第1の層の表面に対して15°から75°の角度をなす、
    方法。
  12. ワークピースを処理するための方法であって、
    未硬化組成物を含む第2の層を基板上に配置された第1の層上に堆積することと、
    前記第2の層上にマスクを適用することであって、前記マスクが、前記第2の層の第1の部分を覆い、前記第2の層の第2の部分を曝露されたままにし、前記第1の層の第1の部分が、前記第2の層の前記第1の部分の下に配置され、前記第1の層の第2の部分が、前記第2の層の前記第2の部分の下に配置された、前記マスクを適用することと、
    前記マスクと前記第2の層の前記第2の部分とをエッチングプロセスに曝露することであって、前記マスクが前記第2の層の前記第1の部分をエッチングプロセスから遮蔽し、その一方、前記第2の層の前記第2の部分が前記エッチングプロセス中に少なくとも部分的にエッチングされる、前記マスクと前記第2の層の前記第2の部分とをエッチングプロセスに曝露することと、
    前記マスクを除去することと、
    を含み、
    前記第1の層の前記第1の部分が、第1の複数のトレンチを含み、前記第1の層の前記第2の部分が、第2の複数のトレンチを含み、
    前記第2の複数のトレンチの少なくとも一つが、前記第1の層の表面に対して15°から75°の角度をなす
    法。
  13. 前記マスクを前記第2の層上に適用する前に、硬化プロセスを用いて前記第2の層を硬化することをさらに含む、請求項11又は12に記載の方法。
  14. 前記硬化プロセスが、熱硬化プロセス、紫外線硬化プロセス、プラズマ支援処理プロセス、イオンビーム処理プロセス、eビーム処理、ベイキング処理、及びそれらの任意の組み合わせからなる群より選択される、請求項13に記載の方法。
JP2021560868A 2019-04-16 2020-02-19 トレンチに薄膜を堆積する方法 Active JP7364688B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962834832P 2019-04-16 2019-04-16
US62/834,832 2019-04-16
US201962865001P 2019-06-21 2019-06-21
US62/865,001 2019-06-21
PCT/US2020/018798 WO2020214238A1 (en) 2019-04-16 2020-02-19 Method of thin film deposition in trenches

Publications (2)

Publication Number Publication Date
JP2022529255A JP2022529255A (ja) 2022-06-20
JP7364688B2 true JP7364688B2 (ja) 2023-10-18

Family

ID=72832986

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021560868A Active JP7364688B2 (ja) 2019-04-16 2020-02-19 トレンチに薄膜を堆積する方法

Country Status (7)

Country Link
US (2) US11572619B2 (ja)
EP (1) EP3969633A4 (ja)
JP (1) JP7364688B2 (ja)
KR (1) KR102693845B1 (ja)
CN (1) CN113677825B (ja)
TW (1) TWI831940B (ja)
WO (1) WO2020214238A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113913926A (zh) * 2021-10-22 2022-01-11 西安奕斯伟材料科技有限公司 外延反应腔室的恢复方法、外延生长装置及外延晶圆

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004152960A (ja) 2002-10-30 2004-05-27 Toppan Printing Co Ltd ドライエッチング装置及びエッチング方法
JP2005285972A (ja) 2004-03-29 2005-10-13 Sharp Corp 伝送線路形成方法、伝送線路、半導体チップおよび半導体集積回路ユニット
US20070023870A1 (en) 2005-07-27 2007-02-01 Dubois Geraud J Low-K interlevel dielectric materials and method of forming low-K interlevel dielectric layers and structures
US20090092931A1 (en) 2007-08-14 2009-04-09 Kang Kyong-Rim Methods of forming a blocking pattern using a photosensitive composition and methods of manufacturing a semiconductor device
JP2009276665A (ja) 2008-05-16 2009-11-26 Dic Corp パターン化位相差フィルムの製造方法
JP2010532080A (ja) 2007-07-04 2010-09-30 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ パターン化された層を基板上に形成する方法
WO2010143283A1 (ja) 2009-06-10 2010-12-16 パイオニア株式会社 コンタクトホールの形成方法、半導体装置の製造方法及び半導体装置
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US20160225636A1 (en) 2015-01-30 2016-08-04 Myeong-koo KIM Methods of forming hardmask material film
JP2018503710A (ja) 2014-12-01 2018-02-08 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. カルボシランポリマー
US20180122690A1 (en) 2015-06-22 2018-05-03 Intel Corporation Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (beol) interconnects
JP2018120167A (ja) 2017-01-27 2018-08-02 株式会社東芝 パターン形成方法、半導体装置及びその製造方法
US20200098629A1 (en) 2016-12-31 2020-03-26 Intel Corporation Hardened plug for improved shorting margin

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5054872A (en) * 1990-03-16 1991-10-08 Ibm Corporation Polymeric optical waveguides and methods of forming the same
US5260163A (en) * 1992-05-07 1993-11-09 E. I. Du Pont De Nemours And Company Photoenhanced diffusion patterning for organic polymer films
JPH063822A (ja) * 1992-06-23 1994-01-14 Sekisui Chem Co Ltd 立体画像表示盤の製造方法
JPH0778820A (ja) * 1993-09-08 1995-03-20 Fujitsu Ltd 薄膜パターンの形成方法
JP2001167054A (ja) 1999-12-09 2001-06-22 Casio Comput Co Ltd 携帯情報機器、認証装置及び認証システム
ITTO20020793A1 (it) * 2002-09-12 2004-03-13 Olivetti Jet Spa Metodo per ricoprire selettivamente una superficie microlavorata.
JP2004335873A (ja) * 2003-05-09 2004-11-25 Toshiba Corp パターン形成方法
US7977032B2 (en) * 2005-02-11 2011-07-12 International Business Machines Corporation Method to create region specific exposure in a layer
KR100670375B1 (ko) 2005-12-13 2007-01-16 삼성에스디아이 주식회사 박막 증착용 마스크, 박막 증착 방법 및 유기 발광표시장치의 제조방법
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
KR100827391B1 (ko) 2006-12-26 2008-05-07 연세대학교 산학협력단 거울을 이용한 비접촉식 지문 영상 획득 장치
KR20080061651A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 형성방법
CN101221889A (zh) * 2007-01-10 2008-07-16 联华电子股份有限公司 形成图案的方法
JP4950676B2 (ja) * 2007-01-18 2012-06-13 リンテック株式会社 回路基板の製造方法
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
TWI360886B (en) * 2007-10-30 2012-03-21 Chunghwa Picture Tubes Ltd A method for manufacturing a flat panel display
JP5254049B2 (ja) * 2008-02-15 2013-08-07 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
KR20100074678A (ko) * 2008-12-24 2010-07-02 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20120007035A1 (en) 2010-07-12 2012-01-12 Crossbar, Inc. Intrinsic Programming Current Control for a RRAM
US20120075196A1 (en) 2010-09-23 2012-03-29 Nokia Corporation Apparatus and method for user input
US8649645B2 (en) * 2011-06-10 2014-02-11 Xyratex Technology Limited Optical waveguide and a method of fabricating an optical waveguide
FR3000601B1 (fr) 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
CN103984495B (zh) 2013-02-07 2016-12-28 纬创资通股份有限公司 操作方法以及电子装置
US20140279528A1 (en) 2013-03-15 2014-09-18 Motorola Mobility Llc Wearable Authentication Device
KR101504544B1 (ko) 2013-03-29 2015-03-20 삼한박막진공 주식회사 패턴 형성용 마스크 및 이를 이용한 패턴 형성 방법
WO2015086049A1 (en) 2013-12-10 2015-06-18 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
CN106537576B (zh) * 2014-04-01 2019-08-27 应用材料公司 整合式金属间隔垫与气隙互连
CN106165141B (zh) 2014-05-09 2019-01-15 应用材料公司 基板载体系统及使用它的方法
WO2015191543A1 (en) 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
KR20160015050A (ko) * 2014-07-30 2016-02-12 엘지전자 주식회사 반지형 이동 단말기
TWI632437B (zh) * 2014-11-07 2018-08-11 羅門哈斯電子材料有限公司 用於形成凸紋影像的方法
CN107615557A (zh) 2015-05-15 2018-01-19 应用材料公司 制造薄膜电池中的锂沉积工艺中使用的掩蔽装置、用于锂沉积工艺的设备、制造薄膜电池的电极的方法和薄膜电池
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
US10081036B2 (en) 2016-09-19 2018-09-25 Applied Materials, Inc. Methods and systems for liquid particle prequalification
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108966657B (zh) 2017-03-17 2020-10-23 应用材料公司 载体、真空系统和操作真空系统的方法
US10422984B2 (en) 2017-05-12 2019-09-24 Applied Materials, Inc. Flexible mode scanning optical microscopy and inspection system
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10685884B2 (en) * 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
TWI782077B (zh) 2017-09-11 2022-11-01 美商應用材料股份有限公司 光罩清潔製程
TWI796358B (zh) 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
TWI821300B (zh) 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US11629402B2 (en) 2019-04-16 2023-04-18 Applied Materials, Inc. Atomic layer deposition on optical structures

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004152960A (ja) 2002-10-30 2004-05-27 Toppan Printing Co Ltd ドライエッチング装置及びエッチング方法
JP2005285972A (ja) 2004-03-29 2005-10-13 Sharp Corp 伝送線路形成方法、伝送線路、半導体チップおよび半導体集積回路ユニット
US20070023870A1 (en) 2005-07-27 2007-02-01 Dubois Geraud J Low-K interlevel dielectric materials and method of forming low-K interlevel dielectric layers and structures
JP2010532080A (ja) 2007-07-04 2010-09-30 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ パターン化された層を基板上に形成する方法
US20090092931A1 (en) 2007-08-14 2009-04-09 Kang Kyong-Rim Methods of forming a blocking pattern using a photosensitive composition and methods of manufacturing a semiconductor device
JP2009276665A (ja) 2008-05-16 2009-11-26 Dic Corp パターン化位相差フィルムの製造方法
WO2010143283A1 (ja) 2009-06-10 2010-12-16 パイオニア株式会社 コンタクトホールの形成方法、半導体装置の製造方法及び半導体装置
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
JP2018503710A (ja) 2014-12-01 2018-02-08 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. カルボシランポリマー
US20160225636A1 (en) 2015-01-30 2016-08-04 Myeong-koo KIM Methods of forming hardmask material film
US20180122690A1 (en) 2015-06-22 2018-05-03 Intel Corporation Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (beol) interconnects
US20200098629A1 (en) 2016-12-31 2020-03-26 Intel Corporation Hardened plug for improved shorting margin
JP2018120167A (ja) 2017-01-27 2018-08-02 株式会社東芝 パターン形成方法、半導体装置及びその製造方法

Also Published As

Publication number Publication date
TWI831940B (zh) 2024-02-11
KR102693845B1 (ko) 2024-08-08
EP3969633A4 (en) 2023-12-06
EP3969633A1 (en) 2022-03-23
US11572619B2 (en) 2023-02-07
JP2022529255A (ja) 2022-06-20
US20230151479A1 (en) 2023-05-18
CN113677825B (zh) 2023-10-24
US20200332414A1 (en) 2020-10-22
TW202046386A (zh) 2020-12-16
KR20210142204A (ko) 2021-11-24
WO2020214238A1 (en) 2020-10-22
CN113677825A (zh) 2021-11-19

Similar Documents

Publication Publication Date Title
US11629402B2 (en) Atomic layer deposition on optical structures
US9214377B2 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
KR102164568B1 (ko) 낮은-k 유전 필름을 패턴화시키는 방법
US10014174B2 (en) Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
KR102462349B1 (ko) 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법
US10134625B2 (en) Shallow trench isolation structure and fabricating method thereof
KR101770472B1 (ko) 반도체 구조물 및 그 제조 방법
KR102571633B1 (ko) 등각 탄소 막 증착
KR20180111696A (ko) 비정질 실리콘 코어에게 하드 마스크층을 제공하는 것에 의한 반도체 장치의 형성
JP2010534924A (ja) 基板ギャップ内に犠牲酸化物ライナを形成する酸素sacvd
US20230151479A1 (en) Method of thin film deposition in trenches
US11848232B2 (en) Method for Si gap fill by PECVD
TW201935564A (zh) 用於蝕刻遮罩與鰭片結構形成之方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230829

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230926

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231005

R150 Certificate of patent or registration of utility model

Ref document number: 7364688

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150