US20050275060A1 - Structure and method to preserve STI during etching - Google Patents

Structure and method to preserve STI during etching Download PDF

Info

Publication number
US20050275060A1
US20050275060A1 US11/151,506 US15150605A US2005275060A1 US 20050275060 A1 US20050275060 A1 US 20050275060A1 US 15150605 A US15150605 A US 15150605A US 2005275060 A1 US2005275060 A1 US 2005275060A1
Authority
US
United States
Prior art keywords
layer
sti
oxide
silicon
shallow trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/151,506
Inventor
Omer Dokumaci
Bruce Doris
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/151,506 priority Critical patent/US20050275060A1/en
Publication of US20050275060A1 publication Critical patent/US20050275060A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Definitions

  • This invention relates to the manufacture of semiconductor devices, particularly to a method and of protecting a single trench isolation oxide from etching during epitaxial precleaning and the semiconductor structure formed thereby.
  • STI shallow trench isolation
  • SOI silicon-on-insulator
  • Cleaning the Si surface prior to epitaxial growth is critical to the quality of the growth of the epitaxial layer. This is important because the device performance is strongly dependent on the eptiaxial film quality.
  • the cleaning process involves the removal of surface contamination and also involves Si surface passivation by hydrogen termination to prevent contamination from adsorbing onto the surface prior to epitaxial growth.
  • Pre-silicide cleaning also has strict requirements. In order to create high quality silicide without defects, it is necessary to clean and also to hydrogen passivate the Si surface.
  • Standard cleaning and hydrogen passivation chemistries include hydrofluoric acid (HF), which, in addition to cleaning Si, causes the unwanted etching of STI.
  • HF hydrofluoric acid
  • the STI is excessively etched. This is particularly problematic for thin Si SOI applications. The reason for this is that the STI thickness is directly proportional to the Si thickness and will therefore be thin for thin Si SOI.
  • the entire STI may-be etched. After the STI is gone, the Buried Oxide (BOX) layer begins to etch. The BOX is located directly under the active area and as the BOX etches away, it can undercut the active area. When the undercutting is excessive, the active area may peel away completely, thereby ruining the device.
  • BOX Buried Oxide
  • STI shallow trench isolation
  • the first problem is that the upper part of the nitride liner will get etched during removal of the pad nitride layer, resulting in a divot adjacent to the active region.
  • the divot is subsequently filled with polysilicon during gate polysilicon deposition and the polysilicon in the divot can cause unwanted electrical connections between adjacent gates and also create a so-called “wraparound” gate.
  • the wraparound gate results in a lowering of the threshold voltage, which causes premature activation of the transistors.
  • the second problem is that a nitride layer cannot protect any STI oxide on top of it. All of the STI oxide above it can be etched away, thereby compromising planarity. What is needed is a method of protecting the shallow trench isolation (STI) during oxide etching processes.
  • STI shallow trench isolation
  • a method of protecting a semiconductor shallow trench isolation (STI) oxide from etching comprising lowering, if necessary, the upper surface of said STI oxide to a level below that of adjacent silicon active areas, depositing a nitride liner upon said STI oxide and adjacent silicon active areas in a manner effective in defining a depression above said STI oxide, filling said depression with a protective film, removing said nitride layer from said adjacent active areas.
  • STI shallow trench isolation
  • said deposition of a nitride liner is effected with a chemical vapor deposition.
  • said chemical vapor deposition is one selected from a low pressure chemical vapor deposition, a rapid thermal chemical vapor deposition, a plasma-enhanced chemical vapor deposition, or a high-density plasma chemical vapor deposition.
  • said chemical vapor deposition further comprises reacting a silane derivative with ammonia.
  • said protective film is an organic polymer.
  • said organic polymer is a planarizing polymer.
  • planarizing protective polymer is an anti-reflective coating polymer.
  • said anti-reflective coating polymer is one selected from mixtures of acrylates and methacrylates, mixtures of polyurea and polysulfone polymers, and copolymers of benzophenone and bisphenol-A.
  • planarizing protective polymer is a photo-resist polymer.
  • said photo-resist polymer is a novolak resin.
  • said protective film is a spin-on oxide.
  • said protective film is conformal and is planarized by chemical mechanical polishing.
  • said filling of said depression with protective film comprises depositing a layer of said protective film over said nitride layer, recessing said protective film such that said protective film remains only in said depression.
  • said protective film is an organic polymer and said recessing is effected with a plasma etch.
  • said protective film is removed from said depression.
  • said protective film is removed from said depression with a reactive ion etch.
  • a method of protecting a semiconductor shallow trench isolation (STI) oxide from etching comprising lowering, if necessary, the upper surface of said STI oxide to a level below that of adjacent silicon active areas, executing a chemical vapor deposition to deposit a conformal nitride liner upon said STI oxide and adjacent silicon active areas in a manner effective in defining a depression above said STI oxide, covering said nitride liner with a protective film comprising an organic polymer, recessing said protective film with a plasma etch, such that said protective film remains only in said depression, removing said nitride layer from said adjacent active areas with a reactive ion etch, removing said protective film from said depression with a plasma etch.
  • STI semiconductor shallow trench isolation
  • a semiconductor structure comprising a plurality of active areas separated by one or more shallow trench isolations wherein only said shallow trench isolations are covered by a protective layer of silicon nitride.
  • a semiconductor structure comprising a plurality of active areas separated by one or more shallow trench isolations, said active areas and shallow trench isolations covered by a layer of silicon nitride, wherein said layer of silicon nitride comprises depressions over said shallow trench isolations, a protective film disposed in said depressions.
  • a method or protecting a semiconductor shallow trench isolation (STI) oxide from etching comprising providing means for lowering, if necessary, the upper surface of said STI oxide to a level below that of adjacent silicon active areas, providing means for depositing a nitride liner upon said STI oxide and adjacent silicon active areas in a manner effective in defining a depression above said STI oxide, providing means for filling said depression with a protective film, providing means for removing said nitride layer from said adjacent active areas.
  • STI shallow trench isolation
  • FIG. 1 shows a typical starting STI structure.
  • FIG. 2 shows the result of an oxide etching process.
  • FIG. 3 shows the result of a nitride deposition.
  • FIG. 4 shows deposition of a protective planarizing film.
  • FIG. 5 shows the result of partially etching the protective planarizing film.
  • FIG. 6 shows the result of an etching operation.
  • FIG. 7 shows the result of the removal of the planarizing film.
  • FIG. 1 there is shown in cross section a typical starting structure, namely a silicon wafer 1 comprising, usually, a buried oxide layer (BOX) 2 , though this layer could be any suitable semiconductor material (such as plain silicon, for example), an active area 3 structure defining one or more shallow trenches filled with an insulating oxide 4 , thereby isolating the active areas 3 on either side of the trenches from one another.
  • a silicon wafer 1 comprising, usually, a buried oxide layer (BOX) 2
  • this layer could be any suitable semiconductor material (such as plain silicon, for example)
  • an active area 3 structure defining one or more shallow trenches filled with an insulating oxide 4 , thereby isolating the active areas 3 on either side of the trenches from one another.
  • BOX buried oxide layer
  • the insulating oxide 4 is not already below the level of the adjacent active areas 3 , then it is desirable to etch the STI oxide down to a level below them, as shown in the drawing.
  • the purpose of this is to define a depression over the STI oxide after deposition of a nitride liner.
  • etching method that does not harm the adjacent active areas is sufficient, such as an HF etch or a dry plasma process that is selective to polysilicon.
  • gases for use in a dry etching plasma process for etching silicon oxides at a more rapid rate than polysilicon include CF 4 —O 2 and CF 4 —H 2 mixtures, wherein the percentage of CF 4 in these mixtures is generally no greater than 80%, more preferably no greater than 60%, and most preferably about 50% ⁇ 10%.
  • Substantially pure fluorocarbon plasmas, such as C 2 F 6 may also be utilized.
  • the plasma etching process will be carried out in an etching chamber that may have either or both of plasma enhanced (PE) or reactive ion etch (RIE) capabilities.
  • PE-type etches will generally be conducted at pressures above 100 torr, while RIE etches will generally be below that pressure.
  • the etches may be performed in batch chambers, such as with barrel etchers, parallel electrode reactors, or hexode etchers. Larger wafers, generally those not smaller than about 200 mm diameter may benefit from single-wafer etchers.
  • a silicon nitride liner 5 is then deposited over the wafer.
  • an oxide layer (not shown) may be deposited or grown over the wafer and the nitride layer deposited thereon so as to protect the silicon surface of the active areas from the stress caused by the nitride layer.
  • the deposition is preferably done in a manner effective in defining a depression over the STI oxides, hence the technique should be conformal to the topography or the lowered STI oxide.
  • Typical methods for conformal deposition of the silicon nitride liner are chemical vapor deposition (CVD) techniques, such as low pressure (LPCVD), rapid thermal (RTCVD), and plasma enhanced (PECVD) chemical vapor depositions.
  • CVD chemical vapor deposition
  • LPCVD low pressure
  • RTCVD rapid thermal
  • PECVD plasma enhanced chemical vapor depositions
  • HDP-CVD High density plasma deposition
  • LPCVD silicon nitride films may generally be formed by reacting dichlorosilane (SiCl 2 H 2 ) with ammonia (NH 3 ) at temperatures of from about 700â C -800â C to form a Si 3 N 4 film and HCl and H 2 gasses. LPCVD films are desirable for their film uniformity and relatively low processing costs. The rate of LPCVD deposition is correlated to the ratio of the concentration (i.e., partial pressure) of dichlorosilane with respect to that of ammonia. LPCVD depositions are typically carried out in a hot-wall tube reactor at pressures of from about 0.25 to 2.0 torr.
  • LPCVD reactions will generally be carried out in a horizontal tube LPCVD batch reactor, also known as a “hot-wall” tube reactor, which are desirable for their good economy, throughput, uniformity, and ability to accommodate even large diameter wafers.
  • a horizontal tube LPCVD batch reactor also known as a “hot-wall” tube reactor, which are desirable for their good economy, throughput, uniformity, and ability to accommodate even large diameter wafers.
  • Such reactors comprise a tube, usually quartz, equipped with vacuum producing and heating means, through which the reactive gasses are passed. If the configuration is such that the reactive gasses are introduced at one end so as to flow down the length of the tube, then it is generally desirable to provide temperature ramp means so as to establish a temperature gradient along the length of the tube. This is because the wafers at the source of the gas flow will have the benefit of a higher concentration of the reactive gasses and will therefore display higher rates of silicon nitride deposition than those waters downstream.
  • the reactive gasses may be injected into the chamber through a plurality of openings substantially evenly distributed along the length of the reaction chamber. Another method of compensating for the depletion of reactant gasses along the length of the tube is to increase the rate of gas flow.
  • RTCVD silicon nitride films may generally be formed by the reaction of dichlorosilane and ammonia by reacting the gasses in a furnace in which the temperature of the wafer is rapidly ramped to temperatures as high as 1100â C within about five seconds. Temperature ramping rates from 50â C/sec to about 75â C/sec are typically achieved in most small batch fast ramp (SBFR) furnaces and some of the latest models achieve rates as high as 150â C/sec. RTCVD methods are desirable for their rapid processing and ability to handle large wafers of 300 nm and larger, among other advantages. Also, the use of higher temperatures over shorter periods of time reduces undesirable transient-enhanced diffusion effects.
  • Rapid thermal process (RTP) furnaces are commercially available from such companies as Applied Materials and AG Associates, among others.
  • Preferred RTP systems will maintain uniform temperature across the width of the wafer during the rapid ramping-up and cooling down of temperature and will have an accurate means of measuring the wafer temperature so as to control it.
  • a typical Applied Materials RTP will have a water-cooled reaction chamber, an array of heating lamps, such as halogen lamps, and a fiber optic temperature probe.
  • the halogen lamps will generally be arrayed above the wafer and have their light directed downward by collimating light pipes, often with a quartz or fused silica window separating the lamps from the reaction chamber. This allows rapid heating and the ability to isolate the chamber so as to evacuate it.
  • the wafer sits upon an insulative rotating base the rotation effective in smoothing out any gas flow and light variations.
  • Pyrometers are usually mounted below the wafer and measure temperature radiating off the back of the wafer at regular intervals, typically 20 times per second, thereby allowing precise computer control over the ramp-up and cool-off of the wafer.
  • PECVD silicon nitride films may generally be formed by reacting silane gas. (SiH 4 ) with either ammonia (NH 3 ) or nitrogen (N 2 ) gas in the presence of electromagnetic radiation in the radio frequency range, thereby depositing a polymer-like Si—N—H material.
  • Reaction temperatures will typically be from 200â C. to 400â C at 0.2 to 0.3 torr and rf-frequencies of from 0.3 to 13.56 MHz.
  • Dual frequency systems typically combining a high frequency (e.g., about 13.5 MHz) rf-signal with a low frequency (e.g., 0.3 to 0.5 MHz) rf-signal to control film stress associated with SiN films.
  • the ratio of ammonia to silane will typically be from about 5 to about 20 parts ammonia to silane.
  • nitrogen 100 to 1,000 parts nitrogen to silane are will typically be used.
  • PECVD films made with ammonia will display better conformalty than those made with nitrogen.
  • PECVD reactors Three types of PECVD reactors are in wide use, namely parallel-plate batch reactors, mini-batch radial reactors, and single wafer reactors.
  • Parallel-plate batch reactors have, as the name implies, a set of parallel plates.
  • the reactor is generally in the form of a vertical cylinder with one plate at the bottom and the other at the top.
  • the wafers rest on the bottom plate, which can usually be rotated and heated and the rf-signal is applied to the electrodes.
  • a more popular PECVD reactor is the mini-batch radial reactors, which comprises a plurality of deposition stations wherein each a wafer sits upon a flat heated electrode. A showerhead-like electrode above each wafer dispenses the reactant gases. These types of reactors are favored for their high reaction rates and minimal contamination problems and good uniformity. Uniformity is achieved by moving the wafers from one station to another for additional depositions, rather than performing the entire deposition at once, thereby averaging out any anomalies at individual stations.
  • Single-wafer PECVD reactors such as those sold by Applied Materials, will generally have multiple reactor chambers, each adapted to contain a single wafer.
  • Each chamber is equipped with a base electrode upon which the wafer sits and a showerhead-like gas nozzle electrode, powered by the rf signal. Heating is usually achieved by a plurality of lamps that provide rapid radiant heating. Film stress may be controlled by adjusting the rf frequencies or the electrode gap-size.
  • HDP-CVD silicon nitride films may generally be formed by reacting silane gas (SiH 4 ) with either ammonia (NH 3 ) or nitrogen (N 2 ) gas in the presence of electromagnetic radiation and an inert gas, such as Argon (Ar) or Helium (He).
  • silane gas SiH 4
  • NH 3 ammonia
  • N 2 nitrogen
  • an inert gas such as Argon (Ar) or Helium (He).
  • Ar Argon
  • He Helium
  • HDP-CVD reactors will generally utilize a glow discharge to produce ions powerful enough to cause sputtering in the material being deposited.
  • Glow discharges are a self-sustaining plasma produced by either or both of a dc-diode type system or an rf-diode system.
  • An inert gas, such as Argon is introduced between a pair of electrodes with a strong enough electric field to ionize the reactant and inert gases to a plasma.
  • Rf-diode systems are preferred because dc-diode systems are unable to sputter insulative materials like silicon nitride and exhibit slower deposition rates in most applications.
  • a preferred rf-diode system will be equipped with a magnetron source so as to help confine electrons near the wafer surface.
  • Commercially popular systems include those sold under the tradename “Centura” by Applied Materials.
  • the protective planarizing film will preferably be any suitable polymer plastic that is planarizing (i.e., it fills up the depressions rather than conforming to the topography of the depressions the way a nitride CVD deposition does) and for which there exists a selective etch with respect to silicon nitride (i.e., an etch that will etch the polymer, but not silicon nitride).
  • suitable polymer plastic that is planarizing (i.e., it fills up the depressions rather than conforming to the topography of the depressions the way a nitride CVD deposition does) and for which there exists a selective etch with respect to silicon nitride (i.e., an etch that will etch the polymer, but not silicon nitride).
  • organic spin-on polymers which comprise polymer resins-dissolved in solvent.
  • Novolak binder resins are commonly available and useful for use in the invention, such as are disclosed in Shiro et al., U.S. Pat. No. 5,674,657, the teachings of which are incorporated by reference herein in their entirety.
  • a commonly used photoresist is sold under the designation “HPR-204” by Olin Hunt Specialty Products of New Jersey and comprises mixed meta- and para-cresol novolak binder resins and a napthaquinone-1,2-diazide-5-sulfonic acid triester of a trihydroxy benzophonene sensitizer dissolved in 85% by weight of ethyl cellusolve acetate, 8.6% by weight butyl acetate, and 5.2% by weight xylene, and 1.2% byweight ethyl benzene.
  • Suitable antireflective coatings are co-polymers of benzophenone and bisphenol-A dissolved in an organic solvent, such as is described in Thomas et al., U.S. Pat.
  • Suitable antireflective coatings include, but are certainly not limited to, organic solutions of multifunctional acrylates and methacrylate monomers, and polyurea and polysulfone polymers.
  • Conformal antireflective coating suitable for use with the invention are also sold under the tradenames “DUV 30” and “DUV 32” by Brewer Scienc, Inc. of Rolla, Mo. Photoresistes and antireflective coatings may also be thinned or diluted by mixing in additional solvent, such as is described in Daraktchiev, I. S., U.S. Pat. No. 4,996,080, the disclosures of which are incorporated by reference herein in their entirety.
  • Commercially available planarizing antireflective coatings suitable for use with the invention include those sold under the product designations “DUV 30” and “DUV 32”, by Brewer Science, Inc. of Rolla, Mo.
  • a spin-on oxide (e.g., glass spin-on) film for a protective film and then planarize it by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • Conformal organic films are generally comprised of relatively high molecular weight resins, as high as 40,000 Daltons and above, dissolved insolvent, such as are described in Pavelick et al. U.S. Pat. No. 6,190,839 B1, the disclosures of which are incorporated by reference herein in their entirety.
  • Commercially available conformal antireflective coatings suitable for use with the invention include those sold under the product designations “ARC 25”, “DUV 44”, and “DUV 42”, also by Brewer Science, Inc. of Rolla, Mo., and those sold under the “AR” series trademark by Shipley Company, LLC of Marlborogh, Mass., particulary those desgnated “AR5” and higher, such as “AR7” and “AR14”.
  • any polymer dissolved in a solvent that is sufficiently planarizing, adhering, and possessing the requisite selectivity will be suited to this invention.
  • Photoresists and antireflective coatings are specifically cited for use with this invention because these materials are almost always readily at hand in any semiconductor fabrication facility.
  • the protective film 6 is recessed such that only that portion of the protective film 6 in then depressions over the oxide isolations 4 remain. In the case of an organic polymer protective film, this can be achieved with a plasma etch using oxygen and nitrogen gases in the presence of an rf-frequency.
  • the exposed nitride i.e., not protected by the arc
  • RIE reactive ion etch
  • a hot phosphoric or similar etch may be used, but this procedure is less controllable than a dry RIE etch.
  • An RIE etch of silicon nitride will generally utilize a CF 4 —O 2 or CHF 3 —O 2 gas mix, or CH 2 F 2 or CH 3 F gasses, in the presence of an rf-frequency (e.g., 13.5 MHz) to establish a glow discharge.
  • Typical reaction pressures are from about 7 to about 6000 mTorr.
  • RIE systems for use in the invention include those sold under the “Etch Centura” tradename series by Applied Materials, among others. Such systems utilize a glow discharge and electrodes to combine the benefits of sputtering with those of enhanced plasma etching and produce high anisotropic etches.
  • the protective film 6 may then be etched away, leaving a trench oxide 4 covered by a protective nitride cap 5 .
  • the active areas may now be precleaned without fear of damage to the trench oxide 4 .

Abstract

Disclosed is a method of protecting a semiconductor shallow trench isolation (STI) oxide from etching, the method comprising lowering, if necessary, the upper surface of said STI oxide to a level below that of adjacent silicon active areas, depositing a nitride liner upon said STI oxide and adjacent silicon active areas in a manner effective in defining a depression above said STI oxide, filling said depression with a protective film, and removing said nitride layer from said adjacent active areas.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates to the manufacture of semiconductor devices, particularly to a method and of protecting a single trench isolation oxide from etching during epitaxial precleaning and the semiconductor structure formed thereby.
  • 2. Discussion of the Related Art
  • The etching of shallow trench isolation (STI) oxides during selective epitaxial precleaning for raised source-drain applications presents a problem in thin silicon-on-insulator (SOI) and other technologies. Cleaning the Si surface prior to epitaxial growth is critical to the quality of the growth of the epitaxial layer. This is important because the device performance is strongly dependent on the eptiaxial film quality. Typically, the cleaning process involves the removal of surface contamination and also involves Si surface passivation by hydrogen termination to prevent contamination from adsorbing onto the surface prior to epitaxial growth. Pre-silicide cleaning also has strict requirements. In order to create high quality silicide without defects, it is necessary to clean and also to hydrogen passivate the Si surface. Standard cleaning and hydrogen passivation chemistries include hydrofluoric acid (HF), which, in addition to cleaning Si, causes the unwanted etching of STI. In order to clean the Si surface adequately for epitaxial growth or silicide formation, the STI is excessively etched. This is particularly problematic for thin Si SOI applications. The reason for this is that the STI thickness is directly proportional to the Si thickness and will therefore be thin for thin Si SOI. During the pre-epitaxial growth cleaning and or the pre-silicide cleaning, the entire STI may-be etched. After the STI is gone, the Buried Oxide (BOX) layer begins to etch. The BOX is located directly under the active area and as the BOX etches away, it can undercut the active area. When the undercutting is excessive, the active area may peel away completely, thereby ruining the device.
  • Conventional STI is created by first forming a pad oxide layer on the Si substrate, then SiN is deposited and patterned by lithography and etching. The SiN is used as a hard mask to etch trenches in the Si, then a thin SiO2 liner is formed by thermal oxidation. Finally, the STI oxide is deposited and chemical mechanical polishing (CMP) used to remove silicon oxide from areas outside the shallow trench. To avoid the possibility of excessive STI etching during pre-epitaxial or pre-silicide cleaning, one solution is to deposit a protective nitride liner inside the trench after the formation of the oxide liner but before the STI fill deposition. There are two main disadvantages to this method. The first problem is that the upper part of the nitride liner will get etched during removal of the pad nitride layer, resulting in a divot adjacent to the active region. The divot is subsequently filled with polysilicon during gate polysilicon deposition and the polysilicon in the divot can cause unwanted electrical connections between adjacent gates and also create a so-called “wraparound” gate. The wraparound gate results in a lowering of the threshold voltage, which causes premature activation of the transistors. The second problem is that a nitride layer cannot protect any STI oxide on top of it. All of the STI oxide above it can be etched away, thereby compromising planarity. What is needed is a method of protecting the shallow trench isolation (STI) during oxide etching processes.
  • SUMMARY OF THE INVENTION
  • Disclosed is a method of protecting a semiconductor shallow trench isolation (STI) oxide from etching, the method comprising lowering, if necessary, the upper surface of said STI oxide to a level below that of adjacent silicon active areas, depositing a nitride liner upon said STI oxide and adjacent silicon active areas in a manner effective in defining a depression above said STI oxide, filling said depression with a protective film, removing said nitride layer from said adjacent active areas.
  • In another aspect of the invention said deposition of a nitride liner is effected with a chemical vapor deposition.
  • In another aspect of the invention said chemical vapor deposition is one selected from a low pressure chemical vapor deposition, a rapid thermal chemical vapor deposition, a plasma-enhanced chemical vapor deposition, or a high-density plasma chemical vapor deposition.
  • In another aspect of the invention said chemical vapor deposition further comprises reacting a silane derivative with ammonia.
  • In another aspect of the invention said protective film is an organic polymer.
  • In another aspect of the invention said organic polymer is a planarizing polymer.
  • In another aspect of the invention said planarizing protective polymer is an anti-reflective coating polymer.
  • In another aspect of the invention said anti-reflective coating polymer is one selected from mixtures of acrylates and methacrylates, mixtures of polyurea and polysulfone polymers, and copolymers of benzophenone and bisphenol-A.
  • In another aspect of the invention said planarizing protective polymer is a photo-resist polymer.
  • In another aspect of the invention, said photo-resist polymer is a novolak resin.
  • In another aspect of the invention said protective film is a spin-on oxide.
  • In another aspect of the invention said protective film is conformal and is planarized by chemical mechanical polishing.
  • In another aspect of the invention said filling of said depression with protective film comprises depositing a layer of said protective film over said nitride layer, recessing said protective film such that said protective film remains only in said depression.
  • In another aspect of the invention said protective film is an organic polymer and said recessing is effected with a plasma etch.
  • In another aspect of the invention said protective film is removed from said depression.
  • In another aspect of the invention, said protective film is removed from said depression with a reactive ion etch.
  • Disclosed is a method of protecting a semiconductor shallow trench isolation (STI) oxide from etching, the method comprising lowering, if necessary, the upper surface of said STI oxide to a level below that of adjacent silicon active areas, executing a chemical vapor deposition to deposit a conformal nitride liner upon said STI oxide and adjacent silicon active areas in a manner effective in defining a depression above said STI oxide, covering said nitride liner with a protective film comprising an organic polymer, recessing said protective film with a plasma etch, such that said protective film remains only in said depression, removing said nitride layer from said adjacent active areas with a reactive ion etch, removing said protective film from said depression with a plasma etch.
  • Disclosed is a semiconductor structure, comprising a plurality of active areas separated by one or more shallow trench isolations wherein only said shallow trench isolations are covered by a protective layer of silicon nitride.
  • Disclosed is a semiconductor structure comprising a plurality of active areas separated by one or more shallow trench isolations, said active areas and shallow trench isolations covered by a layer of silicon nitride, wherein said layer of silicon nitride comprises depressions over said shallow trench isolations, a protective film disposed in said depressions.
  • Disclosed is a method or protecting a semiconductor shallow trench isolation (STI) oxide from etching, the method comprising providing means for lowering, if necessary, the upper surface of said STI oxide to a level below that of adjacent silicon active areas, providing means for depositing a nitride liner upon said STI oxide and adjacent silicon active areas in a manner effective in defining a depression above said STI oxide, providing means for filling said depression with a protective film, providing means for removing said nitride layer from said adjacent active areas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a typical starting STI structure.
  • FIG. 2 shows the result of an oxide etching process.
  • FIG. 3 shows the result of a nitride deposition.
  • FIG. 4 shows deposition of a protective planarizing film.
  • FIG. 5 shows the result of partially etching the protective planarizing film.
  • FIG. 6 shows the result of an etching operation.
  • FIG. 7 shows the result of the removal of the planarizing film.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Referring to FIG. 1, there is shown in cross section a typical starting structure, namely a silicon wafer 1 comprising, usually, a buried oxide layer (BOX) 2, though this layer could be any suitable semiconductor material (such as plain silicon, for example), an active area 3 structure defining one or more shallow trenches filled with an insulating oxide 4, thereby isolating the active areas 3 on either side of the trenches from one another.
  • Referring to FIG. 2, if the insulating oxide 4 is not already below the level of the adjacent active areas 3, then it is desirable to etch the STI oxide down to a level below them, as shown in the drawing. The purpose of this is to define a depression over the STI oxide after deposition of a nitride liner.
  • Any etching method that does not harm the adjacent active areas is sufficient, such as an HF etch or a dry plasma process that is selective to polysilicon. Suitable gases for use in a dry etching plasma process for etching silicon oxides at a more rapid rate than polysilicon include CF4—O2 and CF4—H2 mixtures, wherein the percentage of CF4 in these mixtures is generally no greater than 80%, more preferably no greater than 60%, and most preferably about 50% ±10%. Substantially pure fluorocarbon plasmas, such as C2F6 may also be utilized.
  • Generally, the plasma etching process will be carried out in an etching chamber that may have either or both of plasma enhanced (PE) or reactive ion etch (RIE) capabilities. PE-type etches will generally be conducted at pressures above 100 torr, while RIE etches will generally be below that pressure. The etches may be performed in batch chambers, such as with barrel etchers, parallel electrode reactors, or hexode etchers. Larger wafers, generally those not smaller than about 200 mm diameter may benefit from single-wafer etchers.
  • Referring to FIG. 3, a silicon nitride liner 5 is then deposited over the wafer. Optionally, an oxide layer (not shown) may be deposited or grown over the wafer and the nitride layer deposited thereon so as to protect the silicon surface of the active areas from the stress caused by the nitride layer. The deposition is preferably done in a manner effective in defining a depression over the STI oxides, hence the technique should be conformal to the topography or the lowered STI oxide.
  • Typical methods for conformal deposition of the silicon nitride liner are chemical vapor deposition (CVD) techniques, such as low pressure (LPCVD), rapid thermal (RTCVD), and plasma enhanced (PECVD) chemical vapor depositions. High density plasma deposition (HDP-CVD) techniques may also be used to perform this operation.
  • LPCVD silicon nitride films may generally be formed by reacting dichlorosilane (SiCl2H2) with ammonia (NH3) at temperatures of from about 700â C -800â C to form a Si3N4 film and HCl and H2 gasses. LPCVD films are desirable for their film uniformity and relatively low processing costs. The rate of LPCVD deposition is correlated to the ratio of the concentration (i.e., partial pressure) of dichlorosilane with respect to that of ammonia. LPCVD depositions are typically carried out in a hot-wall tube reactor at pressures of from about 0.25 to 2.0 torr.
  • LPCVD reactions will generally be carried out in a horizontal tube LPCVD batch reactor, also known as a “hot-wall” tube reactor, which are desirable for their good economy, throughput, uniformity, and ability to accommodate even large diameter wafers. Such reactors comprise a tube, usually quartz, equipped with vacuum producing and heating means, through which the reactive gasses are passed. If the configuration is such that the reactive gasses are introduced at one end so as to flow down the length of the tube, then it is generally desirable to provide temperature ramp means so as to establish a temperature gradient along the length of the tube. This is because the wafers at the source of the gas flow will have the benefit of a higher concentration of the reactive gasses and will therefore display higher rates of silicon nitride deposition than those waters downstream. This can be compensated for by increasing the rate of reaction by increasing temperature downstream. Alternatively, the reactive gasses may be injected into the chamber through a plurality of openings substantially evenly distributed along the length of the reaction chamber. Another method of compensating for the depletion of reactant gasses along the length of the tube is to increase the rate of gas flow.
  • RTCVD silicon nitride films may generally be formed by the reaction of dichlorosilane and ammonia by reacting the gasses in a furnace in which the temperature of the wafer is rapidly ramped to temperatures as high as 1100â C within about five seconds. Temperature ramping rates from 50â C/sec to about 75â C/sec are typically achieved in most small batch fast ramp (SBFR) furnaces and some of the latest models achieve rates as high as 150â C/sec. RTCVD methods are desirable for their rapid processing and ability to handle large wafers of 300 nm and larger, among other advantages. Also, the use of higher temperatures over shorter periods of time reduces undesirable transient-enhanced diffusion effects.
  • Rapid thermal process (RTP) furnaces are commercially available from such companies as Applied Materials and AG Associates, among others. Preferred RTP systems will maintain uniform temperature across the width of the wafer during the rapid ramping-up and cooling down of temperature and will have an accurate means of measuring the wafer temperature so as to control it. A typical Applied Materials RTP will have a water-cooled reaction chamber, an array of heating lamps, such as halogen lamps, and a fiber optic temperature probe. The halogen lamps will generally be arrayed above the wafer and have their light directed downward by collimating light pipes, often with a quartz or fused silica window separating the lamps from the reaction chamber. This allows rapid heating and the ability to isolate the chamber so as to evacuate it. The wafer sits upon an insulative rotating base the rotation effective in smoothing out any gas flow and light variations. Pyrometers are usually mounted below the wafer and measure temperature radiating off the back of the wafer at regular intervals, typically 20 times per second, thereby allowing precise computer control over the ramp-up and cool-off of the wafer.
  • PECVD silicon nitride films may generally be formed by reacting silane gas. (SiH4) with either ammonia (NH3) or nitrogen (N2) gas in the presence of electromagnetic radiation in the radio frequency range, thereby depositing a polymer-like Si—N—H material. Reaction temperatures will typically be from 200â C. to 400â C at 0.2 to 0.3 torr and rf-frequencies of from 0.3 to 13.56 MHz. Dual frequency systems, typically combining a high frequency (e.g., about 13.5 MHz) rf-signal with a low frequency (e.g., 0.3 to 0.5 MHz) rf-signal to control film stress associated with SiN films. When using ammonia gas, the ratio of ammonia to silane will typically be from about 5 to about 20 parts ammonia to silane. When using nitrogen, 100 to 1,000 parts nitrogen to silane are will typically be used. Generally, PECVD films made with ammonia will display better conformalty than those made with nitrogen.
  • Three types of PECVD reactors are in wide use, namely parallel-plate batch reactors, mini-batch radial reactors, and single wafer reactors.
  • Parallel-plate batch reactors have, as the name implies, a set of parallel plates. The reactor is generally in the form of a vertical cylinder with one plate at the bottom and the other at the top. The wafers rest on the bottom plate, which can usually be rotated and heated and the rf-signal is applied to the electrodes.
  • A more popular PECVD reactor is the mini-batch radial reactors, which comprises a plurality of deposition stations wherein each a wafer sits upon a flat heated electrode. A showerhead-like electrode above each wafer dispenses the reactant gases. These types of reactors are favored for their high reaction rates and minimal contamination problems and good uniformity. Uniformity is achieved by moving the wafers from one station to another for additional depositions, rather than performing the entire deposition at once, thereby averaging out any anomalies at individual stations.
  • Single-wafer PECVD reactors, such as those sold by Applied Materials, will generally have multiple reactor chambers, each adapted to contain a single wafer. Each chamber is equipped with a base electrode upon which the wafer sits and a showerhead-like gas nozzle electrode, powered by the rf signal. Heating is usually achieved by a plurality of lamps that provide rapid radiant heating. Film stress may be controlled by adjusting the rf frequencies or the electrode gap-size.
  • HDP-CVD silicon nitride films may generally be formed by reacting silane gas (SiH4) with either ammonia (NH3) or nitrogen (N2) gas in the presence of electromagnetic radiation and an inert gas, such as Argon (Ar) or Helium (He). Generally, the reaction pressure will be rather low, generally below ten mTorr HDP-CVD techniques are desirable for laying films down on high-aspect-ratio features.
  • HDP-CVD reactors will generally utilize a glow discharge to produce ions powerful enough to cause sputtering in the material being deposited. Glow discharges are a self-sustaining plasma produced by either or both of a dc-diode type system or an rf-diode system. An inert gas, such as Argon is introduced between a pair of electrodes with a strong enough electric field to ionize the reactant and inert gases to a plasma. Rf-diode systems are preferred because dc-diode systems are unable to sputter insulative materials like silicon nitride and exhibit slower deposition rates in most applications. A preferred rf-diode system will be equipped with a magnetron source so as to help confine electrons near the wafer surface. Commercially popular systems include those sold under the tradename “Centura” by Applied Materials.
  • Referring to FIG. 4, a protective film 6 is deposited. The protective planarizing film will preferably be any suitable polymer plastic that is planarizing (i.e., it fills up the depressions rather than conforming to the topography of the depressions the way a nitride CVD deposition does) and for which there exists a selective etch with respect to silicon nitride (i.e., an etch that will etch the polymer, but not silicon nitride). Commonly available and economical protective polymers are those sold for anti-reflective coatings and photo-resist layers in the lithographic arts, often referred to as “organic spin-on” polymers, which comprise polymer resins-dissolved in solvent. Such resins will typically have molecular weights in the thousands or ten thousands as measured by gel permeation chromatography, but may even go into the millions. Novolak binder resins are commonly available and useful for use in the invention, such as are disclosed in Shiro et al., U.S. Pat. No. 5,674,657, the teachings of which are incorporated by reference herein in their entirety. A commonly used photoresist is sold under the designation “HPR-204” by Olin Hunt Specialty Products of New Jersey and comprises mixed meta- and para-cresol novolak binder resins and a napthaquinone-1,2-diazide-5-sulfonic acid triester of a trihydroxy benzophonene sensitizer dissolved in 85% by weight of ethyl cellusolve acetate, 8.6% by weight butyl acetate, and 5.2% by weight xylene, and 1.2% byweight ethyl benzene. Suitable antireflective coatings are co-polymers of benzophenone and bisphenol-A dissolved in an organic solvent, such as is described in Thomas et al., U.S. Pat. No. 6,207,787, the disclosures of which are incorporated by reference herein in their entirety. Other suitable antireflective coatings include, but are certainly not limited to, organic solutions of multifunctional acrylates and methacrylate monomers, and polyurea and polysulfone polymers. Conformal antireflective coating suitable for use with the invention are also sold under the tradenames “DUV 30” and “DUV 32” by Brewer Scienc, Inc. of Rolla, Mo. Photoresistes and antireflective coatings may also be thinned or diluted by mixing in additional solvent, such as is described in Daraktchiev, I. S., U.S. Pat. No. 4,996,080, the disclosures of which are incorporated by reference herein in their entirety. Commercially available planarizing antireflective coatings suitable for use with the invention include those sold under the product designations “DUV 30” and “DUV 32”, by Brewer Science, Inc. of Rolla, Mo.
  • It is also possible to use a spin-on oxide (e.g., glass spin-on) film for a protective film and then planarize it by chemical mechanical polishing (CMP). Alternatively, one may deposit a conformal organic film and also planarize by CMP. Conformal organic films are generally comprised of relatively high molecular weight resins, as high as 40,000 Daltons and above, dissolved insolvent, such as are described in Pavelick et al. U.S. Pat. No. 6,190,839 B1, the disclosures of which are incorporated by reference herein in their entirety. Commercially available conformal antireflective coatings suitable for use with the invention include those sold under the product designations “ARC 25”, “DUV 44”, and “DUV 42”, also by Brewer Science, Inc. of Rolla, Mo., and those sold under the “AR” series trademark by Shipley Company, LLC of Marlborogh, Mass., particulary those desgnated “AR5” and higher, such as “AR7” and “AR14”.
  • Of course, any polymer dissolved in a solvent that is sufficiently planarizing, adhering, and possessing the requisite selectivity will be suited to this invention. Photoresists and antireflective coatings are specifically cited for use with this invention because these materials are almost always readily at hand in any semiconductor fabrication facility.
  • Referring to FIG. 5, the protective film 6 is recessed such that only that portion of the protective film 6 in then depressions over the oxide isolations 4 remain. In the case of an organic polymer protective film, this can be achieved with a plasma etch using oxygen and nitrogen gases in the presence of an rf-frequency.
  • Referring to FIG. 6, the exposed nitride (i.e., not protected by the arc) is etched away, preferably with a reactive ion etch (RIE), thereby revealing the active areas 3. Alternatively, a hot phosphoric or similar etch may be used, but this procedure is less controllable than a dry RIE etch.
  • An RIE etch of silicon nitride will generally utilize a CF4—O2 or CHF3—O2 gas mix, or CH2F2 or CH3F gasses, in the presence of an rf-frequency (e.g., 13.5 MHz) to establish a glow discharge. Typical reaction pressures are from about 7 to about 6000 mTorr.
  • Commercially available RIE systems for use in the invention include those sold under the “Etch Centura” tradename series by Applied Materials, among others. Such systems utilize a glow discharge and electrodes to combine the benefits of sputtering with those of enhanced plasma etching and produce high anisotropic etches.
  • Referring to FIG. 7, the protective film 6 may then be etched away, leaving a trench oxide 4 covered by a protective nitride cap 5. The active areas may now be precleaned without fear of damage to the trench oxide 4.
  • It is to be understood that all physical quantities disclosed herein, unless explicitly indicated otherwise, are not to be construed as exactly equal to the quantity disclosed, but rather about equal to the quantity disclosed. Further, the mere absence of a qualifier such as “about” or the like, is not to be construed as an explicit indication that any such disclosed physical quantity is an exact quantity, irrespective of whether such qualifiers are used with respect to any other physical quantities disclosed herein.
  • While preferred embodiments have been shown and described, various modifications and substitutions may be made thereto without departing from the spirit and scope of the invention. Accordingly, it is to be understood that the present invention has been described by way of illustration only, and such illustrations and embodiments as have been disclosed herein are not to be construed; as limiting to the claims.

Claims (17)

1-17. (canceled)
18. A semiconductor device, comprising a semiconductor substrate comprising a plurality of active silicon areas separated by a STI (shallow trench isolation) layer wherein said STI layer is covered by a protective capping layer of silicon nitride.
19. A semiconductor structure comprising:
a plurality of active areas separated by one or more shallow trench isolations;
said active areas and shallow trench isolations covered by a layer of silicon nitride, wherein said layer of silicon nitride comprises depressions over said shallow trench isolations; and
a protective film disposed in said depressions.
20. (canceled)
21. The device of claim 18, wherein the STI layer is formed of an oxide.
22. The device of claim 18, wherein the protective capping layer is formed of silicon nitride.
23. The device of claim 18, wherein an upper surface of the STI layer is recessed below an upper surface of the active silicon areas.
24. The device of claim 23, wherein the protective capping layer is formed on said upper surface of the STI layer and covers sidewalls of the active silicon areas adjacent the recessed surface of the STI layer.
25. The device of claim 18, further comprising a lining layer formed between the STI layer and the protective capping layer.
26. The device of claim 18, wherein the semiconductor substrate is a SOI (silicon on insulator) substrate.
27. The device of claim 26, wherein the SOI substrate comprises a buried oxide layer below the active silicon areas and wherein the STI layer is formed down to the buried oxide layer.
28. A semiconductor device, comprising:
a semiconductor substrate comprising plurality of active silicon areas separated by a STI (shallow trench isolation) layer, wherein an upper surface of the STI layer is recessed below an upper surface of the active silicon areas; and
a conformal capping layer formed over the semiconductor substrate such that a depression is formed in a region of the conformal capping layer disposed over the STI layer; and
a protective film formed in said depression.
29. The device of claim 28, wherein the STI layer is formed of an oxide.
30. The device of claim 28, wherein the conformal capping layer is formed of silicon nitride.
31. The device of claim 28, further comprising a conformal lining layer formed between the semiconductor substrate and the conformal capping layer.
32. The device of claim 28, wherein the semiconductor substrate is a SOI (silicon on insulator) substrate.
33. The device of claim 32, wherein the SOI substrate comprises a buried oxide layer below the active silicon areas and wherein the STI layer is formed down to the buried oxide layer.
US11/151,506 2001-05-24 2005-06-13 Structure and method to preserve STI during etching Abandoned US20050275060A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/151,506 US20050275060A1 (en) 2001-05-24 2005-06-13 Structure and method to preserve STI during etching

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/864,974 US6645867B2 (en) 2001-05-24 2001-05-24 Structure and method to preserve STI during etching
US10/437,370 US20030199166A1 (en) 2001-05-24 2003-05-13 Structure and method to preserve STI during etching
US11/151,506 US20050275060A1 (en) 2001-05-24 2005-06-13 Structure and method to preserve STI during etching

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/437,370 Division US20030199166A1 (en) 2001-05-24 2003-05-13 Structure and method to preserve STI during etching

Publications (1)

Publication Number Publication Date
US20050275060A1 true US20050275060A1 (en) 2005-12-15

Family

ID=25344436

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/864,974 Expired - Lifetime US6645867B2 (en) 2001-05-24 2001-05-24 Structure and method to preserve STI during etching
US10/437,370 Abandoned US20030199166A1 (en) 2001-05-24 2003-05-13 Structure and method to preserve STI during etching
US11/151,506 Abandoned US20050275060A1 (en) 2001-05-24 2005-06-13 Structure and method to preserve STI during etching

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/864,974 Expired - Lifetime US6645867B2 (en) 2001-05-24 2001-05-24 Structure and method to preserve STI during etching
US10/437,370 Abandoned US20030199166A1 (en) 2001-05-24 2003-05-13 Structure and method to preserve STI during etching

Country Status (7)

Country Link
US (3) US6645867B2 (en)
EP (1) EP1389348A2 (en)
JP (3) JP4544607B2 (en)
KR (1) KR100564990B1 (en)
CN (1) CN100343974C (en)
AU (1) AU2002310076A1 (en)
WO (1) WO2002095819A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080242574A1 (en) * 2005-06-07 2008-10-02 Advanced Technology Materials, Inc Metal and Dielectric Compatible Sacrificial Anti-Reflective Coating Cleaning and Removal Composition
US20100203699A1 (en) * 2009-02-09 2010-08-12 Samsung Electronics Co., Ltd. Method of forming semiconductor device
US20140070357A1 (en) * 2012-09-12 2014-03-13 International Business Machines Corporation Soi device with embedded liner in box layer to limit sti recess
US8962430B2 (en) 2013-05-31 2015-02-24 Stmicroelectronics, Inc. Method for the formation of a protective dual liner for a shallow trench isolation structure
US9633857B1 (en) 2016-03-31 2017-04-25 Globalfoundries Inc. Semiconductor structure including a trench capping layer and method for the formation thereof
US20180075596A1 (en) * 2012-07-05 2018-03-15 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US20190096058A1 (en) * 2012-07-05 2019-03-28 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US20210209352A1 (en) * 2019-12-26 2021-07-08 Bernard Fryshman Insect and other small object image recognition and instant active response with enhanced application and utility

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294456B1 (en) * 1998-11-27 2001-09-25 Taiwan Semiconductor Manufacturing Company Method of prefilling of keyhole at the top metal level with photoresist to prevent passivation damage even for a severe top metal rule
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
US6821865B2 (en) * 2002-12-30 2004-11-23 Infineon Technologies Ag Deep isolation trenches
US6878644B2 (en) * 2003-05-06 2005-04-12 Applied Materials, Inc. Multistep cure technique for spin-on-glass films
US6693050B1 (en) * 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
KR100499642B1 (en) * 2003-09-05 2005-07-05 주식회사 하이닉스반도체 Method for manufacturing device isolation film of semiconductor device
KR100572491B1 (en) * 2003-12-31 2006-04-19 동부아남반도체 주식회사 Device Separating Method of Semiconductor Device
TWI262558B (en) * 2004-03-05 2006-09-21 Promos Technologies Inc Planarization method of spin-on material layer and manufacturing method of photoresist layer
US6979627B2 (en) * 2004-04-30 2005-12-27 Freescale Semiconductor, Inc. Isolation trench
US7129149B1 (en) 2004-06-07 2006-10-31 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with anti-reflective liner
US7176104B1 (en) 2004-06-08 2007-02-13 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with deep oxide region
KR101067021B1 (en) * 2004-12-03 2011-09-22 주식회사 하이닉스반도체 Method for forming bit line of semiconductor
US20060261436A1 (en) * 2005-05-19 2006-11-23 Freescale Semiconductor, Inc. Electronic device including a trench field isolation region and a process for forming the same
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
DE102005063131B4 (en) * 2005-12-30 2011-12-15 Advanced Micro Devices, Inc. A semiconductor device and method for reducing leakage currents caused by misalignment of a contact structure by increasing a fault tolerance of the contact patterning process
US7670895B2 (en) 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US20080092819A1 (en) * 2006-10-24 2008-04-24 Applied Materials, Inc. Substrate support structure with rapid temperature change
KR100818711B1 (en) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 Method for fabricating isolation layer in semiconductor device
KR100965030B1 (en) * 2007-10-10 2010-06-21 주식회사 하이닉스반도체 Semiconductor device and method of forming contact plug in semiconductor device
US8030173B2 (en) * 2009-05-29 2011-10-04 Freescale Semiconductor, Inc. Silicon nitride hardstop encapsulation layer for STI region
CN102142377B (en) * 2011-01-30 2013-04-17 福建福顺微电子有限公司 Production method of silicon groove of power MOS (Metal Oxide Semiconductor) device
CN103177952B (en) * 2011-12-21 2016-09-21 中国科学院微电子研究所 Low temperature high spreadability method of manufacturing side wall
US8697536B1 (en) 2012-11-27 2014-04-15 International Business Machines Corporation Locally isolated protected bulk finfet semiconductor device
JP2019508899A (en) * 2016-03-11 2019-03-28 アイメック・ヴェーゼットウェーImec Vzw Method of disposing a target layer surrounding vertical nanostructures
FR3054927B1 (en) 2016-08-04 2018-07-13 Soitec METHOD FOR MANUFACTURING A SEMICONDUCTOR STRUCTURE
JP6960839B2 (en) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 Manufacturing method of semiconductor devices
CN113990799B (en) 2020-07-27 2022-12-16 长鑫存储技术有限公司 Preparation method of semiconductor device and semiconductor device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
US5911109A (en) * 1994-07-12 1999-06-08 National Semiconductor Corporation Method of forming an integrated circuit including filling and planarizing a trench having an oxygen barrier layer
US5994200A (en) * 1996-12-26 1999-11-30 Lg Semicon Co., Ltd. Trench isolation structure of a semiconductor device and a method for thereof
US6001705A (en) * 1995-03-31 1999-12-14 Consorzio Per La Ricerca Sulla Microelettronica Nel Mezzogiorno Process for realizing trench structures
US6235606B1 (en) * 1999-01-04 2001-05-22 United Microelectronics Corp. Method of fabricating shallow trench isolation
US6248645B1 (en) * 1998-05-28 2001-06-19 Kabushiki Kaisha Toshiba Semiconductor device having buried-type element isolation structure and method of manufacturing the same
US6265271B1 (en) * 2000-01-24 2001-07-24 Taiwan Semiconductor Manufacturing Company Integration of the borderless contact salicide process
US6265302B1 (en) * 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6406987B1 (en) * 1998-09-08 2002-06-18 Taiwan Semiconductor Manufacturing Company Method for making borderless contacts to active device regions and overlaying shallow trench isolation regions
US20030143852A1 (en) * 2002-01-25 2003-07-31 Nanya Technology Corporation Method of forming a high aspect ratio shallow trench isolation
US6791155B1 (en) * 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5929439A (en) * 1982-08-11 1984-02-16 Matsushita Electronics Corp Insulating isolation method for semiconductor device
JPS607145A (en) * 1983-06-25 1985-01-14 Toshiba Corp Semiconductor device
JPS60236244A (en) * 1984-05-09 1985-11-25 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
US4583281A (en) * 1985-03-13 1986-04-22 General Electric Company Method of making an integrated circuit
US5597868A (en) * 1994-03-04 1997-01-28 Massachusetts Institute Of Technology Polymeric anti-reflective compounds
JP3039350B2 (en) * 1996-01-16 2000-05-08 日本電気株式会社 Method for manufacturing semiconductor device
US6429473B1 (en) * 1996-07-30 2002-08-06 International Business Machines Corporation DRAM cell with stacked capacitor self-aligned to bitline
JPH10144781A (en) * 1996-11-12 1998-05-29 Fujitsu Ltd Manufacture of semiconductor device
US5923992A (en) * 1997-02-11 1999-07-13 Advanced Micro Devices, Inc. Integrated circuit formed with shallow isolation structures having nitride placed on the trench dielectric
JP3058112B2 (en) * 1997-02-27 2000-07-04 日本電気株式会社 Semiconductor device and manufacturing method thereof
JPH10294361A (en) * 1997-04-17 1998-11-04 Fujitsu Ltd Manufacture of semiconductor device
US6150072A (en) * 1997-08-22 2000-11-21 Siemens Microelectronics, Inc. Method of manufacturing a shallow trench isolation structure for a semiconductor device
TW351849B (en) * 1997-09-11 1999-02-01 United Microelectronics Corp Method for fabricating shadow trench insulation structure
JP2953447B2 (en) * 1997-11-14 1999-09-27 日本電気株式会社 Manufacturing method of groove-separated semiconductor device
JPH11163118A (en) * 1997-11-21 1999-06-18 Toshiba Corp Manufacture of semiconductor device
US6960818B1 (en) * 1997-12-30 2005-11-01 Siemens Aktiengesellschaft Recessed shallow trench isolation structure nitride liner and method for making same
US6190839B1 (en) * 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
US5930646A (en) * 1998-10-09 1999-07-27 Chartered Semiconductor Manufacturing, Ltd. Method of shallow trench isolation
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6297126B1 (en) * 1999-07-12 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
JP2001077189A (en) * 1999-09-08 2001-03-23 Sony Corp Manufacture of semiconductor device
JP4608710B2 (en) * 1999-09-09 2011-01-12 ソニー株式会社 Semiconductor device
JP2001085683A (en) * 1999-09-10 2001-03-30 Denso Corp Semiconductor device and its manufacturing method
US6355531B1 (en) * 2000-08-09 2002-03-12 International Business Machines Corporation Method for fabricating semiconductor devices with different properties using maskless process

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
US5911109A (en) * 1994-07-12 1999-06-08 National Semiconductor Corporation Method of forming an integrated circuit including filling and planarizing a trench having an oxygen barrier layer
US6001705A (en) * 1995-03-31 1999-12-14 Consorzio Per La Ricerca Sulla Microelettronica Nel Mezzogiorno Process for realizing trench structures
US5994200A (en) * 1996-12-26 1999-11-30 Lg Semicon Co., Ltd. Trench isolation structure of a semiconductor device and a method for thereof
US6248645B1 (en) * 1998-05-28 2001-06-19 Kabushiki Kaisha Toshiba Semiconductor device having buried-type element isolation structure and method of manufacturing the same
US6406987B1 (en) * 1998-09-08 2002-06-18 Taiwan Semiconductor Manufacturing Company Method for making borderless contacts to active device regions and overlaying shallow trench isolation regions
US6235606B1 (en) * 1999-01-04 2001-05-22 United Microelectronics Corp. Method of fabricating shallow trench isolation
US6265302B1 (en) * 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6265271B1 (en) * 2000-01-24 2001-07-24 Taiwan Semiconductor Manufacturing Company Integration of the borderless contact salicide process
US20030143852A1 (en) * 2002-01-25 2003-07-31 Nanya Technology Corporation Method of forming a high aspect ratio shallow trench isolation
US6791155B1 (en) * 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9422513B2 (en) 2005-06-07 2016-08-23 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20080242574A1 (en) * 2005-06-07 2008-10-02 Advanced Technology Materials, Inc Metal and Dielectric Compatible Sacrificial Anti-Reflective Coating Cleaning and Removal Composition
US8951948B2 (en) 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20100203699A1 (en) * 2009-02-09 2010-08-12 Samsung Electronics Co., Ltd. Method of forming semiconductor device
US20190096058A1 (en) * 2012-07-05 2019-03-28 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US20180075596A1 (en) * 2012-07-05 2018-03-15 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US9965850B2 (en) * 2012-07-05 2018-05-08 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US10147177B2 (en) * 2012-07-05 2018-12-04 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US10521896B2 (en) * 2012-07-05 2019-12-31 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US10937147B2 (en) * 2012-07-05 2021-03-02 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US8987070B2 (en) * 2012-09-12 2015-03-24 International Business Machines Corporation SOI device with embedded liner in box layer to limit STI recess
US20140070357A1 (en) * 2012-09-12 2014-03-13 International Business Machines Corporation Soi device with embedded liner in box layer to limit sti recess
US8962430B2 (en) 2013-05-31 2015-02-24 Stmicroelectronics, Inc. Method for the formation of a protective dual liner for a shallow trench isolation structure
US9633857B1 (en) 2016-03-31 2017-04-25 Globalfoundries Inc. Semiconductor structure including a trench capping layer and method for the formation thereof
US10103224B2 (en) 2016-03-31 2018-10-16 Globalfoundries Inc. Semiconductor structure including a trench capping layer
US20210209352A1 (en) * 2019-12-26 2021-07-08 Bernard Fryshman Insect and other small object image recognition and instant active response with enhanced application and utility

Also Published As

Publication number Publication date
US20030199166A1 (en) 2003-10-23
WO2002095819A2 (en) 2002-11-28
JP4544607B2 (en) 2010-09-15
JP2004527916A (en) 2004-09-09
KR100564990B1 (en) 2006-03-28
JP5448085B2 (en) 2014-03-19
KR20030097838A (en) 2003-12-31
EP1389348A2 (en) 2004-02-18
WO2002095819A3 (en) 2003-11-20
CN100343974C (en) 2007-10-17
CN1610967A (en) 2005-04-27
WO2002095819A8 (en) 2004-12-09
US6645867B2 (en) 2003-11-11
US20020175146A1 (en) 2002-11-28
AU2002310076A1 (en) 2002-12-03
JP2009094547A (en) 2009-04-30
JP2010192919A (en) 2010-09-02

Similar Documents

Publication Publication Date Title
US6645867B2 (en) Structure and method to preserve STI during etching
US6406975B1 (en) Method for fabricating an air gap shallow trench isolation (STI) structure
JP4237845B2 (en) Recipe step sequencing for optimal K HDP-CVD process
US5366557A (en) Method and apparatus for forming integrated circuit layers
US6245640B1 (en) Method for fabricating a semiconductor structure
CN105745740B (en) For interface after stablizing etching so that the method that the Queue time problem before next processing step minimizes
US6562713B1 (en) Method of protecting semiconductor areas while exposing a gate
KR20180029925A (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US20110151142A1 (en) Pecvd multi-step processing with continuous plasma
KR20010051462A (en) Barrier layer deposition using hdp-cvd
US7727906B1 (en) H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US5354421A (en) Dry etching method
US7371436B2 (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
US20090057266A1 (en) Line edge roughness control
EP1157411A1 (en) Method for a consistent shallow trench etch profile
EP0462730A1 (en) Method and apparatus for forming planar integrated circuit layers
US6642147B2 (en) Method of making thermally stable planarizing films
US6383874B1 (en) In-situ stack for high volume production of isolation regions
US6492279B1 (en) Plasma etching methods
US7795151B2 (en) Methods of forming a trench having side surfaces including a uniform slope
CN116670802A (en) Underlayer film for semiconductor device formation
TWI235771B (en) Method of forming a fluorocarbon polymer film on a substrate using a passivation layer
US7144822B1 (en) High density plasma process for optimum film quality and electrical results
US7651960B2 (en) Chemical vapor deposition method preventing particles forming in chamber
KR20010098585A (en) Hdp-fsg process for copper damascene integrated circuits

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910