JP2009538540A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2009538540A
JP2009538540A JP2009513190A JP2009513190A JP2009538540A JP 2009538540 A JP2009538540 A JP 2009538540A JP 2009513190 A JP2009513190 A JP 2009513190A JP 2009513190 A JP2009513190 A JP 2009513190A JP 2009538540 A JP2009538540 A JP 2009538540A
Authority
JP
Japan
Prior art keywords
transfer
chamber
substrate
transfer chamber
transport
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009513190A
Other languages
English (en)
Other versions
JP5492553B2 (ja
Inventor
クリストファー ホフマイスター
ロバート ティー キャベニー
Original Assignee
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2009538540A publication Critical patent/JP2009538540A/ja
Application granted granted Critical
Publication of JP5492553B2 publication Critical patent/JP5492553B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

搬送チャンバと、搬送チャンバに沿う直線配列の基板保持モジュールと、前記チャ
ンバ内に位置する基板搬送部と、を有する基板処理装置。前記チャンバは、隔離雰
囲気を保持することが可能であり、搬送チャンバに沿って縦方向に延在する、1つ
以上の実質的に直線状の搬送経路を画定する。前記チャンバ内の搬送部は、直線状
の搬送経路に沿って、基板を搬送することが可能である。搬送部は、基板の保持お
よび移動が可能である搬送装置を有する。搬送装置は、直線経路の少なくとも1つ
に沿って移動するための、搬送チャンバの壁に接合する。搬送チャンバは、搬送チ
ャンバの向かい合う端部で、他の基板保持モジュールと嵌合するための接合部分を
有する。各接合部分は、2つ以上の直線搬送経路の少なくとも1つが通って延在す
る開口部を有し、搬送チャンバは、選択的に可変である縦方向の長さを接合部分間
に有する。

Description

関連出願
本願は、2004年10月9日に出願された第10/962,787号の一 部継続出願であり、それは、2003年7月22日に出願された第10/624,87号の一部継続出願であり、本明細書に全体で参照で組み込まれる、2002年7月22日に出願された米国仮特許出願第60/397,895号の利益を主張する。
本明細書に記載される実施形態および方法は、基板処理装置に関し、より詳細には、カルテシアン配置で相互接続されるチャンバを伴う基板処理装置に関する。
新規電子デバイスに対する消費者の要望に影響する要因の1つは、必然的に、デバイスの価格である。反対に、費用、つまりは新規電子デバイスの価格が低価格になり得る場合、新規電子デバイスへの消費者の要望において、有益な効果が得られるということが、考えられるであろう。電子デバイスの生産費用の大部分は、電子部品、またはディスプレイを製作するためのパネルを生産する際に使用されるような、半導体基板の生産および処理を端に発する、電子機器の産出の費用である。基板の処理の費用は、部分的には、処理装置の費用、処理装置が収容される施設の費用に部分的に影響され、処理装置のスループット(単価に大きな影響を与える)に大きく影響される。すぐに理解され得るように、処理装置自体の大きさは、上述の要因の全てに影響を与える。しかしながら、従来の処理装置は、サイズの減少に関しては行き詰まりに達しているようである。さらには、従来の処理装置は、1ユニット当たりのスループットを増加させることに関しては限界に達しているようである。例えば、従来の処理装置は、半径方向の処理モジュールの配置を使用することができる。従来の基板処理装置の略平面図は、図1に示される。図に示すように、図1の装置の処理モジュールは、処理装置の搬送チャンバの周りに半径方向に置かれる。従来の2軸または3軸の移動装置(例えば、Z、θ、T軸)である、搬送装置は、搬送チャンバの中央に位置し、処理モジュール間で基板を搬送する。図1から理解されるように、従来の処理装置のスループットは、搬送装置の処理速度によって制限される。言い換えれば、スループットは、単に処理モジュールを装置に加えるだけでは、従来の装置で増加することはできず、その理由は、一度、搬送装置が処理速度のピークに達すると、これがスループットの制御要因になるからである。本発明の装置は、以下にさらに記載されるように、その従来の技術の課題を克服する。
一例示的実施形態による、基板処理装置を提供する。基板処理装置は、搬送チャンバと、搬送チャンバに沿う略直線状の配列の基板保持モジュールと、搬送チャンバに位置し、それに移動可能に実装される基板搬送部と、を含む。搬送チャンバは、外部雰囲気から隔離される隔離雰囲気を保持することが可能である。チャンバは、搬送チャンバの向かい合う壁の間で、搬送チャンバに沿って縦方向に延在する、2つ以上の実質的に直線状の搬送経路を画定する。搬送チャンバに沿う直線配列の各保持モジュールは、チャンバに連通可能に接続され、搬送チャンバと保持モジュールの間の基板の通過を可能にする。搬送チャンバ内に位置する基板搬送部は、2つ以上の実質的に直線状の搬送経路に沿って基板を搬送することが可能である。基板搬送部は、基板を保持し移動することが可能である、少なくとも1つの搬送装置を有する。搬送装置は、直線搬送経路の少なくとも1つに沿って移動するために、搬送チャンバの壁に平行移動可能に接合する。搬送チャンバは、搬送チャンバの向かい合う端部で、他の基板保持モジュールと一体となるための接合部分を有する。各接合部分は、2つ以上の直線搬送経路の少なくとも1つが通って延在する開口部を有し、搬送チャンバは、接合部分の間に、選択的に可変である縦方向の長さを有する。
別の例示的実施形態による、基板処理装置が提供される。装置は、搬送チャンバと、搬送チャンバに沿う略直線状の配列の基板保持モジュールと、基板を搬送するための搬送チャンバに位置し移動可能に実装された基板搬送部と、を含む。搬送チャンバは、搬送チャンバの向かい合う壁の間で搬送チャンバに沿って縦方向に延在する2つ以上の実質的に直線状の搬送経路を画定する。直線配列のモジュールのそれぞれは、チャンバに連通可能に接続され、搬送チャンバと保持モジュール間の基板の通過を可能にする。基板搬送部は、2つ以上の実質的に直線状の搬送経路に沿って基板を搬送することが可能である。基板搬送部は、直線搬送経路上で基板を保持し移動することが可能である少なくとも1つの搬送装置を有する。搬送チャンバは、異なる搬送管を有する。異なる搬送管のそれぞれは、別の搬送管に位置する別の搬送経路と異なる、中に位置する搬送経路の少なくとも1つを有し、第1の位置で別の搬送管に連通可能に接続され、異なる搬送管の異なる搬送経路間での基板の移送を可能にする。各搬送管は、各搬送管に共通し第1の位置から遠位の別の位置へ縦方向に延在する。搬送管の少なくとも1つは、その中に隔離雰囲気を保持することが可能である。
本発明の上述の特徴およびその他の特徴は、付随する図に関連して解釈される、以下の説明において、説明される。
図1は、従来の技術による基板処理装置の略平面図である。 図2は、第1の実施形態による本発明の特徴を組み込む基板処理装置の略平面図である。 図3は、本発明の別の実施形態による基板処理装置の略平面図である。 図4は、本発明のさらに別の実施形態による基板処理装置の略平面図である。 図5は、本発明のさらに別の実施形態による基板処理装置の略平面図である。 図6は、本発明のまた別の実施形態による基板処理装置の略平面図である。 図7は、別の実施形態による2つの基板処理装置を伴う基板処理システムの略平面図であり、図7Aは、また別の実施形態による基板処理システムの略平面図である。 図8は、別の従来の基板処理装置の略平面図である。 図9は、多数の従来の処理装置および1つのストッカーを含む従来の基板処理システムの略平面図である。 図10は、基板処理装置のプラテン駆動システムの端面図である。 図11Aは、基板処理装置の別のプラテン駆動システムの端面図である。 図11Bは、プラテン駆動システムの断面図(図11Aの線11B〜11Bに沿う)である。 図12は、装置の別の実施形態による基板処理装置の例示的カートの上面図である。 図12Aは、拡張位で示されるカートを伴う図12の例示的カートの別の上面図である。 図12Bは、装置のチャンバの一部における、図12の例示的カートの端面図である。 図13Aは、装置の別の実施形態による駆動システムおよび搬送カートを伴う装置のチャンバの一部分の上端面図である。 図13Bは、チャンバおよびカートの図13Aの線13B〜13Bに沿った断面図である。 図13Cは、チャンバおよびカートの図13Bの線13C〜13Cに沿った別の断面図である。 図13Dは、装置の例示的駆動システムの概略図である。 図14Aは、図2の装置で使用されるカートの別の実施形態の端面図である。 図14Bは、駆動システムの軸偏向Zと復元力Fの関係を説明する図式である。 図15は、別の実施形態による装置の半導体加工材料搬送カートの概略斜視図である。 図16は、別の実施形態による装置の半導体加工材料搬送カートの分解立面図である。 図17は、別の実施形態による搬送カートの概略斜視図である。 図18は、図2の搬送装置および装置の加工材料チャック回転器具の一部分の断面である。 図19は、加工材料チャック回転器具および異なる位置での搬送カートを伴う装置の搬送カートの立面図である。 図20は、加工材料チャック回転器具および異なる位置での搬送カートを伴う装置の搬送カートの立面図である。 図21は、また別の実施形態によるチャック回転器具の別の略率面図である。 図22は、装置のための搬送カートのまた別の実施形態の略上平面図である。 図23は、装置のための搬送カートのまた別の実施形態の略立面図である。 図23Aは、2つの異なる位置でカートの移送アームを伴う図22の搬送カートの別の上平面図である。 図23Bは、2つの異なる位置でカートの移送アームを伴う図22の搬送カートの別の上平面図である。 図24は、搬送カートの実施形態の略立面図である。 図24Aは、3つの異なる位置でカートの移送アーム連結を伴う図24の搬送カートの平面図である。 図24Bは、3つの異なる位置でカートの移送アーム連結を伴う図24の搬送カートの平面図である。 図24Cは、3つの異なる位置でカートの移送アーム連結を伴う図24の搬送カートの平面図である。 図25は、搬送カートのさらに別の実施形態の略立面図である。 図25Aは、3つの異なる位置でカートの移送アーム連結を伴う図25の搬送カートの平面図である。 図25Bは、3つの異なる位置でカートの移送アーム連結を伴う図25の搬送カートの平面図である。 図25Cは、3つの異なる位置でカートの移送アーム連結を伴う図25の搬送カートの平面図である。 図26は、装置のコントローラにおけるシステムコントロールソフトウェアの概略図である。 図27は、本発明のまた別の例示的実施形態による基板処理システムの略平面図である。 図28は、図27のシステムの搬送チャンバの各モジュールの断面立面図である。 図29は、図28の線29〜29に沿ったチャンバモジュールの断面図である。 図30は、図27のシステムの基板搬送部の底面図である。 図31は、別の例示的実施形態による処理装置の別の略平面図である。 図32は、別の例示的実施形態による処理装置の各部分の略立面図である。 図33は、さらに別の例示的実施形態による処理装置の各部分の別の略立面図である。
図2を参照すると、本発明の特徴を組み込む基板処理装置10の略平面図が示される。本発明は図で示される実施形態を参照して、説明されるが、本発明は、実施形態の多くの代替形式で実施され得るということが理解されるべきである。さらに、任意の適した大きさ、形状またはタイプの要素または材料が使用され得る。
基板処理装置10は、図2に示されるように、多数のロードポート12を有する環境フロントエンドモジュール(EFEM)14に接続される。ロードポート12は、例えば、従来のFOUPキャニスタ等の、多数の基板貯蔵キャニスタを支持することができるが、任意のその他の適したタイプを使用してもよい。EFEM14は、以下にさらに説明されるように、処理装置に接続されるロードロック16を通して処理装置と連通する。EFEM14(雰囲気にさらされてもよい)は、ロードポート12からロードロック16へ基板を搬送することが可能である基板搬送装置(図示せず)を有する。EFEM14は、基板整列能力、バッチハンドリング能力、基板およびキャリア識別能力等をさらに含むことができる。代替実施形態では、ロードロックが、バッチハンドリング能力を有する場合、またはFOUPからロックへウエハを直接的に移送するロードロック能力を有する場合、ロードロック16は、直接的にロードポート12に接合することができる。そのような装置のいくつかの実施例は、米国特許第6,071,059号、第6,375,403号、第6,461,094号、第5,588,789号、第5,613,821号、第5,607,276号、第5,644,925号、第5,954,472号、第6,120,229号、ならびに、2002年7月22日に出願された、米国特許出願整理番号第10/200,818号に開示され、それらのすべては、全体で参照として本明細書に組み込まれる。代替実施形態では、他のロックのオプションを提供することができる。
図2をさらに参照すると、先述のように、半導体基板(例えば、200/300mmウエハ)、平面パネルディスプレイのためのパネル、また任意のその他の所望の種類の基板を処理するために使用することのできる処理装置10は、概して、搬送チャンバ18、処理モジュール20、および少なくとも1つの基板搬送装置22を含む。示される実施形態の基板搬送装置22は、チャンバ18と統合されている。本実施形態では、処理モジュールは、チャンバの両側に実装される。その他の実施形態では、処理モジュールは、例えば図4に示されるように、チャンバの片側に実装することができる。図2に示される実施形態では、処理モジュール20は、Y1、Y2の列で、または垂直な面で、互いに向かい合って実装される。その他の代替実施形態では、処理モジュールは、搬送チャンバの向かい合う側部で、互いに交互になり、または互いに対して垂直な方向で積み重ねられる。搬送装置22は、ロードロック16と処理チャンバ20との間で基板を搬送するための、チャンバ内で移動するカート22Cを有する。示される実施形態では、1つのカート22Cのみが提供されているが、代替実施形態では、より多くのカートが提供されてもよい。図2で見られるように、搬送チャンバ18(その内部で、真空または不活性雰囲気あるいは単純に汚染されていない環境またはそれらの組み合わせに曝露される)は、1つの構造を有し、処理モジュールが実質的に平行に垂直な平面または列で配列されるモジュールを伴い、新規カルテシアン配置でチャンバ18に実装することを可能にする新規基板搬送装置22を用いる。これにより、図1および2を比較して明らかであるように、処理装置10が、比較され得る従来の処理装置(即ち、同じ数の処理モジュールを伴う従来の処理装置)よりもコンパクトなフットプリントを有するということが結果として生じる。さらには、搬送チャンバ22は、以下にさらに詳細に記載されるように、スループットを増加させるために、任意の所望の長さが提供されて、任意の所望の数の処理モジュールを加えてもよい。搬送チャンバは、さらに、その中の任意の所望の数の搬送装置を支持することができ、互いに妨害することなく、搬送チャンバ上の任意の所望の処理チャンバに、搬送装置が到達することを可能にする。これは、実際には、搬送装置のハンドリング容量から処理装置のスループットを分断するので、処理装置スループットは、ハンドリング制限よりもむしろ処理制限になる。従って、スループットは、処理モジュールを追加し、同プラットフォーム上でハンドリング容量を一致させることにより、所望通りに、増加されることが可能である。
図2をさらに参照すると、本実施形態での搬送チャンバ18は、略長方形を有するが、代替実施形態では、チャンバは、任意のその他の適した形状を有することができる。チャンバ18は、細長い形状(即ち、丈が幅よりもはるかに長い)を有し、その中の搬送装置のための略直線状の搬送経路を画定する。チャンバ18は、縦方向の側壁18Sを有する。側壁18Sは、搬送開口部またはそこを通って形成されるポート180を有する。搬送ポート180は、基板がポート(バルブであることが可能である)を通過し搬送チャンバを出入りすることが可能である十分な大きさに決定される。図2でみられるように、本実施形態での処理モジュール20は、各処理モジュールが搬送チャンバ内の対応する搬送ポートと揃えられて、側壁18Sの外側に実装される。理解され得るように、各処理モジュール20は、対応する搬送開口の周辺の周りのチャンバ18の側部18Sに対して密封され、搬送チャンバで真空を維持することができる。各処理モジュールは、バルブを有することができ、所望された時に、搬送ポートを閉口するための任意の適した手段により制御される。搬送ポート180は、同水平面に位置することができる。従って、チャンバ上の処理モジュールは、同水平面でさらに揃えられる。代替実施形態では、搬送ポートは、異なる水平面に配置することができる。図2で見られるように、本実施形態では、ロードロック16は、2つの最前の搬送ポート180でチャンバ側部18Sに実装される。これにより、処理装置の正面でEFEM14にロードロックが隣接することが可能になる。代替実施形態では、ロードロックは、例えば、図4で示されるような搬送チャンバ上の任意の他の搬送ポートに位置することができる。搬送チャンバの六面体の形状は、チャンバの長さが、所望通りに、できるだけ多くの処理モジュールの列を実装するように、所望通りに選択されることを可能にする(例えば、搬送チャンバの長さが任意の数の処理モジュールに順応するような長さである他の実施形態を示す、図3、5、6〜7Aを参照のこと)。
前述のように、図2で示される実施形態の搬送チャンバ18は、単一のカート22Cを有する1つの基板搬送装置22を有する。搬送装置22は、チャンバと統合され、前部18Fと後部18Bとの間で、チャンバ内で往復してカート22Cを平行移動させる。搬送装置22は、1つ以上の基板を保持するためのエンドエフェクタを有するカート22Cを有する。搬送装置22のカート22Cは、処理モジュールまたはロードロック内で基板を回収または解放するために、エンドエフェクタの延在および引き込みのための多関節アームまたは移動可能な移送機構22Aをさらに有する。処理モジュール/ロードポートから基板を回収または解放するために、搬送装置22は、所望のモジュール/ポートに揃えられてもよく、アームは、対応するポート180を通じて、延在/引き込みされ、基板の回収/解放のためのモジュール/ポートの内側でエンドエフェクタを配置する。
図2に示される搬送装置22は、代表的搬送装置であり、直線状の支持/駆動レールにより支持されるカート22Cを含む。搬送装置は、以下にさらに詳細に記載されるであろう。直線状の支持/駆動レールは、搬送チャンバの側壁18S、床、または上部に実装することができ、チャンバの長さを延在することができる。このことは、カート22C、つまりは装置がチャンバの長さを横断することを可能にする。カートは、アームを支持するフレームを有する。フレームは、さらに、フレームにより、またはフレームに関連して移動する、キャスターマウントまたはプラテン22Bをさらに支持する。以下にさらに詳細にさらに記載されるように、順次の同期直線モータ30は、プラテン22Bを駆動し、つまりはレールに沿ってカート22Cを駆動する。直線モータ30は、搬送チャンバの床または側壁18Sに位置することができる。バリアは、以下でさらに見られるように、モータの巻き線とプラテンの動力部との間に位置することができ、チャンバの内部から巻き線を隔離する。概して、直線モータは、多数の駆動ゾーンを含むことができる。駆動ゾーンは、アーム22Aが延在/引き込みされる搬送チャンバに沿った位置で(即ち、モジュール/ポートの本実施形態の列Y0〜Y2で)位置する。駆動ゾーンの数および密度は、1カート当たりのプラテンの数、1チャンバ当たりのモータの数、処理モジュールまたは交換点等の数により異なる。本実施形態では、アームは、プラテンが駆動モータによって互いに関連する動作で移動する場合、アームは延在または引き込みをされるように、適したリンケージ/トランスミッションによって、操作可能にプラテン22Aに接続される。例えば、トランスミッションは、プラテンがレールに沿って離れて移動する場合、アームは左へ延在し、互いに接近して後方に移動する場合、アームは左から引き込みされるように配置することができる。プラテンは、さらに、右へ、または右からアーム22Aを延在/引き込みするために直線モータによって、適切に、操作されることができる。スライドレール上での直線モータでのプラテンの移動の制御、さらにプラテン、つまりはカートの配置決めの検知およびアームの延在された/引き込みされた配置は、その全体で参照として本明細書に組み込まれる、公開番号WO第99/23504号、第99/33691号、第01/02211号、第01/38124号、および第01/71684号を有する国際出願によって遂行することができる。理解され得るように、プラテンは、搬送チャンバ内でその縦方向にカート/装置全体を移動するために、一方向で一致して駆動することができる。
図3は、装置10と略同様である基板処理装置10´の別の実施形態を示す。本実施形態では、搬送チャンバ18´は、2つの搬送装置22A、22Bを有する。搬送装置122A、122Bは、前述の実施形態の装置22と実質的に同様である。搬送装置122A、122Bの両方は、前述の縦方向のスライドレールの共通のセットによって支持されてもよい。各装置に対応するカートのプラテンは、同直線モータ駆動によって駆動することができる。直線モータの異なる駆動ゾーンは、各カート上の個々のプラテンの個々の駆動を可能にし、つまりは、個々のカート122A、122Bのそれぞれの個々の駆動も可能にする。つまり、理解され得るように、各装置のアームは、前述の様式と同様の様式で直線モータを使用して、個々に、延在/引き込みされ得る。しかしながら、この場合、基板搬送装置122A、122Bは、別々のスライドシステムを使用しない限り、搬送チャンバ内で互いを通過することは不可能である。従って、処理モジュールは、基板が搬送装置が互いに妨害することを避ける順序で、処理モジュールで処理されるために搬送されるように、搬送チャンバの長さに沿って配置される。例えば、コーティングのための処理モジュールは、加熱モジュールの前に位置することができ、冷却モジュールおよびエッチングモジュールは最後に位置することができる。
しかしながら、搬送チャンバ18´は、2つの搬送装置が互いに通過することを可能にする、別の搬送ゾーン18´A、18´Bを有することができる(側部レール、バイパスレールまたはレールを必要としない磁気的に懸架されたゾーンと同種)。この場合、他の搬送ゾーンは、処理モジュールが位置する水平面の上または下のいずれかに位置することができる。本実施形態では、搬送装置は、各搬送装置対して1つである、2つのスライドレールを有する。1つのスライドレールは、搬送チャンバの床または側壁に位置することができ、もう1つのスライドレールは、チャンバの上部に位置することができる。代替実施形態では、カートが水平および垂直に個々に移動することが可能である場所でカートを同時に駆動し懸架する直線駆動システムを用いることができ、つまりは、それらがお互いに独立して基板を通過または移送することを可能にする。電気巻き線を用いる全ての実施形態では、これらの巻き線は、例えば、水蒸気を除去するための場合に、脱気のためにチャンバを加熱することが望ましい場合などに、さらに抵抗ヒーターとして使用することができる。この場合の搬送装置のそれぞれは、専用の直線駆動モータまたは前述されるものと同様にカートが属する専用の駆動ゾーンによって駆動することができる。
ここで図6、および7を参照すると、本発明の他の実施形態による他の基板処理装置が示される。図6および7で見られるように、これらの実施形態での搬送チャンバは、追加の処理モジュールに順応するために、引き伸ばされる。図6に示される装置は、搬送チャンバに接続される12の処理モジュールを有し、図7の各装置(2つの装置が示される)は、搬送チャンバに接続される24の処理モジュールを有する。これらの実施形態に示される処理モジュールの数は、単に例示であり、装置は、任意の他の数の前述のような処理モジュールを有することができる。これらの実施形態の処理モジュールは、前述のものと同様のカルテシアン配置で搬送チャンバの側部に沿って置かれる。これらの場合の処理モジュールの列の数は、しかしながら、(例えば、図6の装置では6列、図7の装置のそれぞれでは12列)非常に増加している。図6の実施形態では、EFEMは、除去することができ、ロードポートは、ロードロックに直接的に統合することができる。図6、および7の装置の搬送チャンバは、多数の搬送装置(即ち、図6の場合3つの装置、および図7の場合6つの装置)を有し、ロードロックと処理チャンバとの間の基板をハンドルする。示される搬送装置の数は、単に例示に過ぎず、それ以上またはそれ以下の装置を使用することができる。これらの実施形態の搬送装置は、前述のものと略同様であり、アームおよびカートを含む。この場合、しかしながら、カートは、搬送チャンバの側壁でのゾーン直線モータ駆動により支持される。この場合の直線モータ駆動は、2つの直交軸(即ち、搬送チャンバ内で縦方向、および搬送チャンバ内で垂直)のカートの平行移動を提供する。従って、搬送装置は、搬送チャンバ内で互いに通過して移動することが可能である。搬送チャンバは、搬送装置(即ち、処理モジュール内での基板の回収/解放)の固定を避けるため、または搬送装置が反対方向に移動することを防ぐために、搬送装置が通ることができる、処理モジュールの平面の上および/または下の、「通過」または搬送領域を有することができる。理解され得るように、基板搬送装置は、多数の基板搬送装置の移動を制御するためのコントローラを有する。
図7をさらに参照すると、この場合、基板処理装置18Aおよび18Bは、ツール300に直接的に統合することができる。
図3、5、および6〜7から理解され得るように、搬送チャンバ18は、所望通りに、延在することができ、処理施設P全体に及ぶ。図7で見られるように、また以下にさらに詳細に記載されるように、搬送チャンバは、例えば、ストレージ、リソグラフィツール、金属沈着ツールまたは任意の他の適したツールベイのような処理施設Pでの様々な区域またはベイ18A、18Bに接続し連通することができる。搬送チャンバ18によって相互接続されるベイは、処理ベイまたは処理18A、18Bとしてさらに構成されてもよい。各ベイは、所望のツール(例えば、リソグラフィ、金属沈着、加熱、洗浄)を有し、半導体加工材料での所定の製造プロセスを遂行する。いずれの場合でも、搬送チャンバ18は、前述のように連通可能に接続される、施設のベイでの様々なツールに対応する処理モジュールを有し、チャンバと処理モジュールとの間で半導体加工材料を移送することを可能にする。それ故に、搬送チャンバは、大気環境、真空環境、超高真空環境、不活性ガス環境、または任意の他の環境等の異なる環境条件を含むことができ、搬送チャンバに接続される様々な処理モジュールの環境に全体に渡って対応する。従って、所定の処理またはベイ18A、18B中、あるいはベイの一部内におけるチャンバの区域18P1は、例えば、1つの環境条件(例えば、大気環境)を有することができ、チャンバの別の区域18P2、18P3は、異なる環境条件を有することができる。前述のように、その中に異なる環境を伴うチャンバの区域18P1、18P2、18P3は、施設の異なるベイに存在することができ、または、施設の1つのベイに全てが存在することができる。図7は、例示目的のみで、異なる環境を伴う3つの区域18P1、18P2、18Pを有するチャンバ18を示す。本実施形態のチャンバ18は、所望通りに、できるだけ多くの異なる環境を伴うできるだけ多くの区域を有することができる。
図7で見られるように、チャンバ18内の搬送装置は、装置122Aと同様に(図3もさらに参照のこと)、中に異なる環境を伴うチャンバの区域18P1、18P2、18P3の間を横断することが可能である。それ故に、図7から理解され得るように、搬送装置122Aは、一度の回収により、処理施設の1つの処理またはベイ18Aのツールから処理施設の異なる処理またはベイ18Bにおいて異なる環境を伴う別のツールへ、半導体加工材料を移動することができる。例えば、搬送装置122Aは、搬送チャンバ18の区域18P1での大気モジュール、リソグラフィ、エッチングまたは任意の他の所望の処理モジュールであることのできる、処理モジュール301において基板を回収することができる。搬送装置122Aは、その後、チャンバの区域18P1から区域18P3へ図7の矢印X3で示される方向に移動することができる。区域18P3では、搬送装置122Aは、任意の所望の処理モジュールであることができる、処理モジュール302に基板を置くことができる。
図7から理解され得るように、搬送チャンバは、所望通りにチャンバ18を形成するために接続されるモジュラーであることができる。モジュールは、図2の壁18F、18Rと同様の内部壁18Iを含むことができ、チャンバの区域18P1、18P2、18P3、18P4を分離する。内部壁18Iは、スロットバルブ、またはチャンバ18P1、18P4の1つの区域が隣接区域と連通することを可能にする、任意の他の適したバルブを含むことができる。スロットバルブ18Vは、バルブを通って1つの区域18P1、18P4から別の区域へ1つ以上のカートが横断することを可能にするように大きさを決定することができる。この方法で、カート122Aは、チャンバ18全体を通してあらゆる場所に移動することができる。バルブは、閉口し、異なる区域が前述のような異種環境を含むことができるように、チャンバの区域18P1、18P2、18P3、18P4を隔離することができる。さらには、チャンバモジュールの内部壁は、図2に示されるようなロードロック18P4を形成するために位置することができる。ロードロック18P4(例示目的で、1つのみが図2に示される)は、所望通りにチャンバ18に位置することができ、その中に任意の所望の数のカート122Aを保持することができる。
図7に示される実施形態では、処理18Aおよび18Bは、例えば、エッチ等の同処理であることができ、処理装置18Aおよび18Bが、ストッカーであるツール300との組み合わせで、例えば図9に示される装置と同量の基板を処理することが可能であるが、AMHSを介して、ストッカーから個々の処理ツールへFOUPSを搬送すること、および、EFEM´を介して、各処理ツールに個々のウエハを搬送することを伴う材料ハンドリングのオーバーヘッドを伴わない。その代わりに、ストッカー内のロボットは、ウエハがロックへバッチ移動させられ、所望の処理および/または要求されるスループットによって、それらの各処理モジュールへ送りだされる、ロードポート(1ツール当たり3つが示され、スループット要求によって、それ以上またはそれ以下が提供され得る)へFOUPSを直接的に移送する。この様式で、安定した状態であるやり方で、図7の装置および図9の装置は、同スループットを有することができるが、図7の装置は、より少ないコスト、より少ないフットプリント、より少ないWIP要求で−、従って、より少ないインベントリで、および単一のキャリアロット(または「ホットロット」)を処理する時間を見ると、より早い転換でそれを行い、結果として製造オペレータに対し大きな利益をもたらす。ツール18A、18Bまたはストッカー300内では、基板を効果的に処理し試験するために、必要に応じて、計測能力、選別能力、材料識別能力、試験能力、検査能力(ボックスを置く)等をさらに有することができる。
図7に示される実施形態では、それ以上またはそれ以下の処理18Aおよび18Bは、例えばエッチ、CMP、銅沈着、PVD、CVD等、異なる処理を提供することができ、処理装置18A、18B等が、例えばフォトリソグラフィセル等であるツール300と組み合わせで、例えば、図9に示される多数の装置と同量の基板を処理することができるが、AMHSを介して、ストッカーから個々の処理ツールベイおよびリソグラフィベイへFOUPを搬送すること、およびEFEM´を介して、各処理ツールへ個々のウエハを搬送することを伴う、材料ハンドリングのオーバーヘッドを伴わない。その代わりに、リソグラフィセル内のオートメーションは、直接的に、ロードポート(処理タイプ当たり3つが示され、それ以上またはそれ以下はスループット要求によっては提供され得る)にFOUPS、基板または材料を移送するが、所望の処理および/またはスループット要求によって基板は、それらの各プロセスに送られる。そのような代替の実施例は図7Aに示される。この様式で、図7の装置は、より少ないコスト、より少ないフットプリント、より少ないWIP要求で−従って、より少ないインベントリで、単一のキャリアロット(または「ホットロット」)を処理する時間を見るとより早い転換で、および高度な汚染制御で基板を処理し、結果として製造オペレータに対し大きな利益をもたらす。ツール18A、18Bまたはツールまたはセル300内には、必要に応じて、効果的に基板を処理し試験するために、計測能力、処理能力、選別能力、材料識別能力、試験能力、検査能力(ボックスを置く)等をさらに有する。図7から理解され得るように、処理装置18A、18B、およびツール300は、結合することができ、共通のコントローラ環境(例えば、不活性雰囲気、または真空)を共有する。このことは、ツール300から装置18A、18Bのプロセス全体を通って、基板が制御された環境にあり続けることを確実にする。このことは、図8に示される従来の装置の構造においてのようなFOUPの特別な環境の制御の使用を排除する。
ここで図7Aを参照すると、図7に示される実施形態の特徴を組み込む例示的製造施設レイアウト601が示される。カート22A、122Aと同様である、カート406は、搬送チャンバ602、604、606、608、610、612、614、616、618、620、624、626を通って製造施設601内で処理ステップを通って基板またはウエハを搬送する。処理ステップは、エピタキシャルシリコン630、誘電体沈着632、フォトリソグラフィ634、エッチング636、イオンインプランテーション638、急速熱処理640、計測642、誘電体沈着644、エッチング646、金属沈着648、電気鍍金650、化学機械研磨652を含むことができる。代替実施形態では、それ以上またはそれ以下の処理が、エッチ、金属沈着、加熱および冷却操作等、同じ順序で、含まれ、または混合されることができる。前述のように、カート406は、カート406が、処理されたウエハを回収し、同モジュールに処理されていないウエハを置くための能力を有する場合など、単一のウエハまたは多数のウエハを運ぶことが可能であり、移送能力を有することができる。カート406は、直接的な、ツールからツールへあるいはベイからベイへの移送、または処理から処理への移送のための隔離バルブ654を通って走行する。バルブ654は、所定のバルブ654のいずれかの側部での圧力差またはガス種の違いによって、シールドバルブまたは単にコンダクタンスタイプバルブになることができる。この様式で、単一のハンドリングステップまたは「ワンタッチ」によって、ウエハまたは基板は、1つの処理ステップから次へ、移送されることができる。結果として、ハンドリングによる汚染は最小限になる。かかる圧力または種の違いの例としては、例えば、1つの側部では清浄空気およびもう1つの側部では窒素、または1つの側部では粗圧真空レベルおよびもう1つの側部では高真空、または1つの側部では真空およびもう1つの側部では窒素であり得る。ロードロック656は、図7のチャンバ184P4と同様に、例えば、真空と窒素またはアルゴンとの間等、1つの環境と別の環境との間の横断のために使用することができる。代替実施形態では、他の圧力または種は、任意の数の組み合わせで提供されてもよい。ロードロック656は、単一のキャリアまたは多数のキャリアの横断が可能であることができる。別様には、基板は、カートがバルブを通って通過することが望ましくない場合に、棚(図示せず)等のロードロック656に移送されてもよい。アライメントモジュール、計測モジュール、洗浄モジュール、処理モジュール(例えば、エッチ、沈着、研磨等)、熱的調節モジュール等の追加の特徴658は、ロック656または搬送チャンバへ組み込むことができる。サービスポート660は、ツールからカートまたはウエハを除去するために提供されてもよい。ウエハまたはキャリアストッカー662、664は、処理を貯蔵およびバッファへ格納するため、および/またはウエハを試験するために提供されてもよい。代替実施形態では、カートがリソグラフィツールに直接的に方向付けられるようなストッカー662、664は、提供することはできない。別の実施例では、インデクサーまたはウエハ貯蔵ストレージモジュール666が、ツールセットに提供される。再循環ユニット668は、ツール区域612等の任意の所定の区域の空気またはガス種を循環および/または濾過するために提供されてもよい。再循環ユニット668は、ガスパージ、粒子フィルタ、化学的フィルタ、温度制御、湿度制御または処理されるガス種を調節するための他の特徴を有することができる。所定のツール区域では、それ以上またはそれ以下の循環および/または濾過あるいは調節ユニットを提供することができる。隔離ステージ670は、2次汚染され得ない異なる処理またはツールの区域からカートおよび/またはウエハを隔離するために提供することができる。ロックまたは接合部分672は、カートが、配向を変更せずに一般的作業空間内で回収または置かれ得る場合、カートの配向または方向を変更するように提供されてもよい。代替の実施形態または方法では、処理の順序または編成の任意の適した組み合わせが提供され得る。
ここで図10を参照すると、一実施形態による例示的な単一の軸のプラテン駆動システム320の端面図を示す。駆動システム320は、図2、3、および7〜7Aに示される搬送装置またはカート22A、122A、406を駆動するために適した駆動の実施例である。システム320は、プラテン324を駆動する固定の巻き線セットを有する。プラテン324は、レール328上でスライド可能であるスライドブロック326で支持されてもよい。レール328は、搬送チャンバのベース330、または側壁に結合される。ベース330は、巻き線322とプラテン324との間にバリア332を提供する。理解され得るように、バリア332は、チャンバの内部環境から巻き線322を隔離することもできる。巻き線322は、ベース330に結合される。プラテンは、プラテン324を巻き線322に接合するための、それに結合された磁石334を有することができる。センサ336は、磁気制限型ホールエフェクトセンサであることができ、プラテン324での磁石の存在を検知し、適切な転流を決定するために提供されてもよい。さらには、センサ336は、プラテン324の微妙な配置決定に用いることができる。配置フィードバックデバイス340は、正確な配置フィードバックのために提供されてもよい。デバイス340は、例えば、誘導的または光学的であることができる。誘導的である事例では、巻き線またはパターン346を励磁し、パターン346間の結合を介してレシーバー344に誘導的に再結合する、励振源342を提供することができる。相対位相と振幅の関係がプラテン324の位置を決定するために使用される。IRタグ等のカート識別タグ347は、ステーションによってカートidを決定するために、適当なステーションに提供されるリーダー348を提供されてもよい。
ここで図11Aを参照すると、別の実施形態によるプラテン駆動システム400の端面図が示される。また、図11Bを参照すると、図11Aの線11B〜11Bに沿う、駆動システム400の断面図が示される。以下にさらに説明されるように、システム400は、プラテンまたはカート406(カート406は、前述のカートまたは搬送装置22A、122Aと同様であることができる。)の移動に影響することが可能である。システム400は、カート406を駆動する、向かい合う固定の巻き線セット402、404を有する。巻き線セット402、404は、2次元の駆動配列、垂直方向408および横方向410で巻かれる。代替実施形態では、追加の配列は、例えば427等、異なる方向にカート406を駆動するために、システム400をそこから90度に配向された別の同様のシステムに結合することによって、提供され得る。配列は、多数のカートが個々に駆動することを可能にするために、多数のゾーンで駆動する。実施例として、ゾーン424は、供給ゾーンである可能性があり、ゾーン426は、移送ゾーンである可能性がある、ゾーン428は、リターンゾーンである可能性がある。各ゾーン内には、各ゾーン内での多数のカートの駆動を可能にするサブゾーンが存在することができる。代替実施形態では、それ以上またはそれ以下のゾーンあるいはサブゾーンを、任意の数の組み合わせで提供することができる。カート406は、巻き線セット402、404によって生成されるフィールドによって支持され、巻き線セット402と406との間のフィールドをバイアスすることによって、非接触様式で、配置可能である。チャンバ412は、バリア414として、巻き線セット402と404とカート406との間に提供されてもよい。巻き線は、示されるようにゾーン416に存在する。カート406は、巻き線を伴うプラテン418、420を有する。代替実施形態では、それ以上またはそれ以下のプラテンを提供することができる。センサの配列は、プラテンまたはカートにおける磁石の存在を検知するために、または、適切な転流および位置の決定のため、およびプラテンおよびカートの微妙な配置決定のため、プラテンに提供されてもよい。カート識別タグは、適当なステーションで提供されるリーダーを提供されてもよく、ステーションによってカートidを決定する。
ここで図12を参照すると、装置の別の実施形態による、処理装置10のための例示的カート229の上面図が示される。カート229は、図2、3、および7〜7Aに示される前述のカート22、122A、406と同様であることができる。カート229は、軸方向経路150および/または半径方向経路152に沿って基板148を搬送することが可能であるように示される。カート229は、図12に示される経路154に沿って基板を移動することがさらに可能である。カート229は、便宜上、2次元システムとして示されるが、しかしながら、代替実施形態では、追加の運動軸、例えば、z運動(図示せず−書面の内外で)または角運動154が提供され得る。カート229は、便宜上、単一の基板148をハンドリングすることが可能であるように示される。しかしながら、代替実施形態では、追加のハンドリングが提供され得る。例えば、カートは、基板が処理モジュールで交換されることが望ましい場合(即ち、最初に処理された基板は回収され、次の処理されていない基板は、それから、同カート229の同処理モジュールに置かれてもよい。)、第2の基板をハンドルする能力を含むことができる。
カート229は、フレーム156、エンドエフェクタ158および2次的フレーム160を有する。スライド162は、フレーム156、エンドエフェクタ158および2次的フレーム160を、示されるようにフレーム156の左または右のいずれかへ直線経路152に沿って、互いにスライド可能にさせる。直線機構が示されるが、代替実施形態では、任意の適したアームシステムは、図17に示されるように、および以下にさらに詳細に記載されるように、例えば、フレーム156に結合されるスカラ型アームなどで使用されてもよい。基板148は、エンドエフェクタ158で支持される。
ここで図12Aを参照すると、チャンバ229(チャンバ18および602〜626と同様、図2〜3、および7〜7Aを参照のこと)の一部分での、例示的カート229の上面図が示される。カートは、例示的モジュール166の中に延在するエンドエフェクタ158を有する。モジュール166は、搬送チャンバに接続されるものとして、前述のあらゆるモジュールと同様であることができる。カート229は、軸方向経路150および/または半径方向経路152に沿って基板148を搬送することが可能であるように示される。カート229は、フレーム156、エンドエフェクタ158および2次的フレーム160を有する。スライド162は、フレーム156、エンドエフェクタ158および2次的フレーム160を、示されるようにフレーム156の左または右のいずれかへ直線経路152に沿って、互いにスライド可能にさせる。フレーム156は、同期モータ170と接合するその下側で、磁気プラテン168を有する。駆動プラテン172は、同期モータ174と接合する。駆動プラテン172は、ベアリング178を使用して、150の方向に実質的に並行する176の方向に沿うフレーム156の下側に対してスライド可能に実装される。150の方向に沿うプラテン168および172の同時の移動は、152の方向への運動なしに、カートが150の方向へ移動することを可能にする。プラテン168を固定して保持する一方、同時に、フレーム156に対して176の方向に沿ってプラテン172を移動することは、基板およびエンドエフェクタ148、158の152の方向に沿う半径方向運動を生じる。
176の方向でのプラテン172の直線運動は、152の方向に沿う2次的フレーム160の直線運動に平行移動する。プーリ186は、フレーム156に回転可能に結合され、2次的プーリ188および182を有する。プーリ182は、180の方向に沿うプラテン172の移動が、プーリ182を190の方向に回転させるように、向かい合う方向で、向かい合って適用することで、バンド184でプラテン172に結合される。プーリ192および194は、フレーム156に回転可能に結合される。ケーブル196は、198の点でプーリ188に結合され、示されるようにプーリ192の周りに巻き付き、2次的フレーム160の200で終了する。ケーブル202は、198の点でプーリ188に結合され、プーリ188の周りに反時計回りに巻き付き、示されるようにプーリ194の周りに巻き付き、2次的フレーム160の204で終了する。この様式で、176の方向へのプラテン172直線運動は、152の方向に沿う2次的フレーム160の直線運動に平行移動される。
176の方向へのプラテン172の直線運動および、152の方向に沿う平行移動された2次的フレーム160の直線運動も、示されるように152の方向でエンドエフェクタ158をさらに延在する。プーリ210および212は、回転可能に2次的フレーム160に結合される。ケーブル214は、216の点でエンドエフェクタ158に結合され、示されるようにプーリ210の周りに巻き付き、フレーム156の218で終了する。ケーブル220は、222の点でエンドエフェクタ158に結合され、プーリ212の周りに巻き付き、フレーム156の224で終了する。この様式で、176の方向へのプラテン172の直線運動は、示されるような152の方向でのエンドエフェクタ158のさらなる延在にさらに平行移動される、152の方向に沿う2次的フレーム160の直線運動に平行移動される。ケーブルプーリの代わりに、プラテンとエンドエフェクタとの間のトランスミッションは、ベルト、バンド、または任意の適した材料から作られる任意の他の適したトランスミッション手段を使用することができる。代替実施形態では、適したリンケージシステムは、ケーブルプーリの場所で使用することができ、プラテンからエンドエフェクタへの運動をトランスミットする。図12に実質的に示される位置への、エンドエフェクタ158の引き込みは、同様であるが逆の様式で行われる。さらに、図12Bに示されるものと同様であるが、向かい合う位置へのエンドエフェクタ158の延在は、上述の位置へ向かい合う様式でプラテン168、172を移動することにより達成される。
ここで図12Bを参照すると、例示的処理モジュール166に延在される前のカート229端面図が示される。スライド240は、フレーム156が示される直線経路150に沿ってスライド可能になるようにさせる。フレーム156は、同期モータ170と接合するその下側で、磁気プラテン168を有する。駆動プラテン172は、同期モータ174と接合する。駆動プラテン172は、矢印150(図12を参照のこと)によって表示される方向に実質的に並行である方向に沿うフレーム156の下側に対してスライド可能に関連して実装される。プラテン168および172の150の方向に沿う同時移動は、152の方向への運動なしに、矢印15で表示される方向へカートが移動することを可能にする。プラテン168を固定し保持すると同時に、フレーム156に対して176の方向に沿ってプラテン172を移動することは、基板およびエンドエフェクタ148、158の152の方向に沿う半径方向運動を生じる。プラテン172および168は、モータ170および174と接合する磁石を有することができる。チャンバ244は、例えば、非磁性のステンレス鋼等の非磁性材料から作られ、モータ巻き線とその各プラテンとの間にバリア246、248を提供することができる。代替実施形態では、それ以上またはそれ以下の直線駆動またはカートを提供することができる。例えば、単一の駆動モータは、プラテン168および172が、同駆動モータに接合するが、異なるゾーンによって個々に駆動可能である、追加の駆動ゾーンを有して提供されてもよい。さらなる実施例として、追加のカートは、スロット開口部の上部に沿うかあるいはその下の床250、壁252、254で、またはチャンバのカバー256で、異なる駆動システムによって駆動され得る。
ここで図13Aを参照すると、装置10のチャンバ716の一部分、および装置で使用することのできる例示的カート700を伴う、例示的駆動システム701の上面図が示される。チャンバ716は、装置のチャンバ18、またはチャンバ602〜624(図2〜3、および7〜7Aを参照のこと)の別の代表部分である。カート700は、軸方向経路704および/または半径方向経路706に沿って、またはZ運動(文書内外で図示せず)で基板702A、702Bを搬送することが可能であるように示される。代替実施形態では、角運動が提供され得る。代替実施形態では、それ以上またはそれ以下の基板ハンドリングが提供され得る。カート700は、直線機構、または例えばスカラ型アーム等の、使用することができる、任意の適したアームシステムであり得る、搬送機構724Aおよび724Bを有する。代替実施形態では、アームは提供されなくてもよい。搬送機構724Aおよび724Bは、図12Aに示されるものと同様の様式で所望通りに、処理モジュールまたは他のモジュール内へ延接することができる。カート700は、搬送チャンバ716の壁で同期モータと接合するその側部で、プラテン722、720、710および712を有する。駆動プラテン712は、カート700の側部に実装され、704の方向に沿ってカート700に対してスライド可能である。プラテン712は、カート700に対する、方向704の方向に沿うプラテン712の移動(位置712Aから712Bへ、図13A参照のこと)が、機構724Aが、位置708Aと708Bとの間をスロット718Aおよび718Bを通ってウエハ702Aを搬送することを可能にするように、機構724Aを駆動する。同様に、駆動プラテン710は、カート700の側部に実装され、704の方向に沿ってカート700に対してスライド可能である。プラテン710は、カート700に対する、704の方向に沿うプラテン710の移動(位置710Aから710Bへ、図13A参照のこと)が、位置708Aと708Bとの間で、スロット718Aおよび718B通って、ウエハ702Bを搬送することを可能にするように、機構724Bを駆動する。プラテン710および712は、個々に、カート700に対して移動可能である。プラテン722、720は、カート700に対して固定される。プラテン720、722を固定し保持すると同時に、704の方向にプラテン712を移動することは、706の方向に沿う半径方向の移送運動を生じる。プラテン720、722を固定し保持すると同時に、704の方向にプラテン710を移動することも、さらに706の方向に沿う別々の半径方向への移送運動を生じる。704の方向に沿って、プラテン720、722、710および712を同時に移動することは、カート700を704の方向に沿って移動させ、カート700が、例えばバルブ714を通るような、処理位置から処理位置への移動を可能にする。
ここで図13Bを参照すると、図13Aの13B〜13Bの線に沿う例示的駆動システム701およびカート700の断面図が示される。また、図13Cを参照すると、図13Bの例示的駆動システム701の別の側断面図が示される。システム701は、カート700を駆動する、向かい合う固定の巻き線セット727、729を有する。巻き線セット727、729は、例えば、垂直方向705および横方向704等の、1次元および2次元の駆動配列の組み合わせで巻き付けられる。駆動配列は、1次元または2次元の配列での直線モータまたは直線ステッピング型モータであることができる。かかる駆動配列の実施例は、米国特許第4,958,115号、第5,126,648号、第4,555,650号、第3,376,578号、第3,857,078号、第4,823,062号に記載され、それらは、その全体で参照として本明細書に組み込まれる。代替実施形態では、統合された2次元の巻き線セットは、2次元の磁石またはパターンを有するプラテンで用いることができる。他の代替実施形態では、他のタイプの1次元または2次元の駆動システムが用いられ得る。代替実施形態では、追加の配列は、例えば、そこから90度に配向された別の同様のシステムにシステム701を結合することにより、異なる方向でカート700を駆動するために提供され得る。配列は、多数のカートが個々に駆動することを可能にするために、多数のゾーンで駆動される。実施例として、ゾーン685は、供給ゾーンであることができ、ゾーン683は、移送ゾーンであることができ、ゾーン681は、リターンゾーンであることができる。各ゾーン内には、各ゾーン内で多数のカートを駆動することを可能にするサブゾーンが存在することができる。代替実施形態では、それ以上またはそれ以下のゾーンまたはサブゾーンが、任意の数の組み合わせで提供されてもよい。カート700は、巻き線セット727、729によって生成されたフィールドによって支持され、巻き線セット727と729との間でフィールドをバイアスすることによって、浮上した非接触の様式で、配置可能である。図13Cは、図13Dに示されるシステムによって駆動され、カート700を浮上させるために用いられ得る、1つの可能な巻き線の組み合わせを示す(図14を参照して以下にさらに記述実施例に関して、または多軸の能動浮上による)。1次元の巻き線セットは、巻き線ゾーン732A〜C、および730A〜C、および734A〜C、および742A〜B、および740A〜Bで提供される。2次元の巻き線セットは、巻き線ゾーン736A〜E、および738A〜Cで提供される。代替実施形態では、任意の適した巻き線セットの組み合わせを提供することができ、または完全な2−D配列等を提供することができる。カート700は、プラテン720に対して配列738B、およびプラテン710に対して配列736B、CおよびDの組み合わせで使用することのできる、プラテン720および710を有する。704の方向(図13を参照のこと)へプラテン710を移動し、プラテン720を固定し保持することにより、ウエハは、スロット718Aを通って半径方向に移動することができる。705の方向(図13Bを参照のこと)へ710および720を同時に移動することによって、ウエハは回収されるかまたは置かれてもよい。ゾーン間で巻き線転流および巻き線切り替えを調整することによって、カート700は、選択的に、垂直方向および/または横方向に、異なる巻き線および駆動ゾーンを通って、移動することができる。チャンバ716は、巻き線セット727、729とカート700との間のバリアとして提供されてもよい。代替実施形態では、巻き線セット727、729が、例えば、清浄空気または窒素環境が存在するエンクロージャ716の内部にある場合等は、バリアの必要性は存在しない。代替実施形態では、それ以上またはそれ以下のプラテンまたは巻き線を提供することができる。センサ746、747、748の配列は、プラテンにおける磁石の存在を検知するために、または、適切な転流および位置を決定するため、およびプラテンおよびカートの微妙な配置決定のために、プラテンあるいはカートに、または、プラテンと巻き線との間の隙間等の配置を決定するために、提供されることができる。前述のように、カート識別タグは、適当なステーションで提供されるリーダーを提供されてもよく、ステーションによってカートidを決定する。
ここで図14Aを参照すると、単一の軸の直線モータ巻き線セット762、764によって、生成されたフィールドによって支持される、また別の実施形態による、別の例示的カート760の端面図が示される。例示的カート760は、巻き線セット762と764との間でのフィールド776をバイアスすることによって、非接触様式で配置可能である。配置検知766、768が、776をバイアスすることによって閉ループの方式で提供され、カート760を浮上させる。浮上は、図14Bに示されるようなZの方向でカートが受動的に安定化されるようなこの単純な様式で行われる。カート760は、磁石を有するか、または巻き線セット762、764と接合する磁性あるいは導体材料で作られることのできるその側部に、磁気プラテン772および774を有する。代替実施形態では、それ以上またはそれ以下のプラテンを提供することができ、例えば、アームを駆動する。チャンバ770(チャンバ18の任意の代表部分、装置の602〜624と同様であり、図2〜3、および7〜7Aを参照のこと)は、例えば、非磁性ステンレス鋼等の非磁性材料から作られてもよく、前述のように、モータ巻き線とそれらの各プラテンとの間にバリアを提供することができる。代替実施形態では、それ以上またはそれ以下の直線駆動またはカートを提供することができる。例えば、単一の駆動モータは、プラテンが同駆動モータと接合するが、異なるゾーンによって個々に駆動可能である、追加の駆動ゾーンを有して提供されてもよい。さらなる実施例として、追加のカートは、床、スロット開口部の上部に沿う、またはその下の壁で、あるいはチャンバのカバーで、異なる駆動システムによって駆動され得る。
図14Bでは、カート760の所望の配置から、復元力Fと軸偏向Zの関係は、図で説明される。各正または負の軸方向(z方向)において、復元力は、最初に、FMAXの値または−FMAXの値にそれぞれ最大偏向ZMAXまたは−ZMAXまでそれぞれ大きさを増加するが、しかしながら、この偏向が超過した場合に、再び減少する。それ故に、FMAXを超過して、力がカート760に加えられた場合、(カート重量または同様また他のプラテン等を駆動する他の巻き線セットから等の外力等)、カートは、巻き線762、764から脱出する。そうでない場合、カート760は、それらが適用されている限り、フィールド内に留まる。米国特許参考文献(その全体で参照として本明細書に組み込まれる)第6,485,531号、第6,559,567号、第6,386,505号、第6
,351,048号、第6,355,998号に記載される、この原理は、回転デバイスに関して、本明細書に記載される装置の駆動システム701に、直線状の方式で適用され、例示的カート760を浮上させる。代替実施形態では、他の駆動システムまたは浮上システムを使用することができる。
さらに図13Dを参照すると、図13Aに示されるカート/プラテン駆動システム701での使用に適した、例示的巻き線駆動システム790の略図が示される。巻き線駆動システム790は、巻き線792、マルチプレクサ793および増幅器モジュール794を有する。巻き線792は、巻き線および/または、ホールセンサ、配置センサ、誘導センサ、キャリア識別センサ、状態および故障検出論理および回路等のセンサを有することができる。増幅器モジュール794は、単一または多数の位相増幅器、配置および/または存在センサ入力または出力、CPUおよび/またはメモリ、識別リーダー入力または出力、状態および故障検出論理および回路等を有することができる。増幅器モジュール794は、直接的にまたはマルチプレクサユニット793を通して巻き線792に接続することができる。マルチプレクサユニット793を使用する場合、増幅器A1〜Amは
、巻き線W1〜Wnのいずれかに選択的に接続することができる。A〜CPUは、この選択的接続を調整し、デバイスの状態を監視する。この様式で、CPUは、ツールを停止せずに、点検のため、オフラインで増幅器モジュールまたは巻き線を選択的に、得ることができる。
前述のように、搬送チャンバ18、602〜624(例えば、図2〜3、および7〜7Aを参照のこと)での使用に適した搬送装置またはカートは、装置で、カートと所望の位置との間で半導体加工材料を移送するための移送アームの有無にかかわらず、カートを含む。図12および13Aは、それぞれ、前述のように、装置で半導体加工材料をハンドリングするための移送アームを伴う搬送カート229、700の2つの例示的実施形態を示す。ここで図22および23を先に参照すると、装置10のチャンバでの使用のために適した搬送カート機構1557の別の実施形態が示される。カート1557は、ベース区域またはベースプレート1558およびベースプレートに実装された移送アーム1577を含むことができる。図22に示されるように、カート機構ベースプレート1558は、プ
レートの向かい合う側部に2つの結合磁石配列1502を伴うが、プレートの向かい合う角には限定されない。ロボットベースプレート1558の向かい合う角で、2つの追加の磁石配列1502が直線ベアリングキャリッジ1560に結合され、直線ベアリングレール1562の上をスライドするようにされる。これらの直線ベアリングレール1562は、ベースプレート1558に結合される。駆動ベルト1564または直線運動を回転運動に変換する他の手段は、直線ベアリングキャリッジ1560に取り付けられる。示されるような場合において、駆動ベルト1564は、アイドラープーリ1566の周りに巻き付けられ、それからプーリテンショナー1568に巻き付けられ、駆動プーリ1570に取り付けられる。磁石配列1502を通ってベアリングキャリッジ1560に適用される直線運動は、結果として、駆動プーリ1572の回転運動をもたらす。自由度2の適用の場合、記載される機構の冗長バージョンが、ロボットカート機構の向かい合う側部に適用され、重複回路は、駆動プーリ1572に取り付けられる。この組み合わせは、同軸プーリアセンブリを産出する。固定磁石配列1502と組み合わせ磁石配列1502と直線ベアリングキャリッジ1560との相対運動は、移送アームリンケージを駆動する手段を提供する。ロボットキャリッジの直線搬送の場合、直線ベアリング/磁石配列1560/1502および結合磁石配列/カートベースプレート1502/1558は、固定されたセットとして駆動され、駆動プーリ1570&1572の回転は見られない。ベースプレート1558の駆動機構は、他の適した移送アームリンケージを操作するために使用することができ、いくつかの実施例は、図24〜24C、25〜25Cに示される)。図
23に示される実施形態での移送アーム1577は、一般的な単一のSCARAアーム構造を有する。駆動プーリ1572は、下部リンクアーム1574に結合され、駆動プーリ1570は、前腕部駆動プーリ1586に結び付けられる。前腕部プーリ1586の回転運動は、駆動ベルト1582および肘部プーリ1576を通して、前腕部1578に結合される。手首/エンドエフェクタ1584は、下部リンクアーム1574に接地されるため、結果として生じる前腕部1578の手首肘部プーリ1580に対する相対的回転運動によって駆動する。典型的には、この運動は、プーリ1572および1570の入力される駆動比率に対する、各ジョイントでのプーリ比率によって実現される。また図23A〜23Bを参照すると、移送アームリンケージ1577は、引き込みおよび延在の配置で、
それぞれ示される。引き込みおよび延在の配置間の移動は、所望通りに、ベースプレートに対して、移動可能な磁石配列1502を移動することにより、実現される(上述の様式で)。アームリンケージの移動は、カートを固定し、または搬送チャンバに対して移動して実施される。図23A〜23Bは、アーム1577を延在した場合、カートの横側部1576R(即ち、チャンバ壁に面するカートの側部)に延在するように配置された移送アーム1577を示す。これは、図13Aに示されるカート700の移送機構724A〜Bの延在/引き込み移動と同様である。理解され得るように、カート1557上の移送アーム1577は、カートベースプレートに対して任意の所望の配向へ、S回転の軸の周りで(図22参照のこと)ユニットとして回転することができる(移動可能な磁石配列1502を使用して)。例えば、図23A−23Bに示される配向から約180°回転
した場合、移送アーム1577は、図23Bに示される側部に向かい合う1575Lの側部に延在することができる。さらに、移送アームは、アームの延在がチャンバの直線方向(図22で矢印15Xで表示される)に沿うように、約90°回転することができる。任意の数のアームリンケージは、そのようなカートで用いることができる。カートで使用することができる、適したアームリンケージの他の実施例は、米国特許第5,180,276号、第5,647,724号、第5,765,983号、および第6,485,250号に記載され、その全ては全体で参照として本明細書に組み込まれる。
図24は、カートベースプレート1558´に実装された2重回転エンドエフェクタを伴うカート機構1557´の別の実施形態の立面図である。カート1557´は、別の点で、前述の図22〜23に示されるカート1557と同様である。同様の特徴は、同様の番号を付けられる。図24A〜24Cは、カートが移動する際の、ベアリングキャリッジ配列の直線搬送および結合する相対運動の両方の使用を示す。図22を参照して前述のように、プーリ1570´および1572´の回転は、ベアリングキャリッジおよび磁石配列が、カートのベースプレートに結合される固定の磁石配列に対して移動することによりもたらされる。組み合わせの場合、ロボットカート搬送は、直線チャンバに沿って、矢印15X´で表示される方向に移動し、ベアリングキャリッジおよび磁石配列は、接地する
配列に対して移動する。この運動は、エンドエフェクタ1588´および1590´が回転することを可能にし、それにより、図23A〜23Bと同様の、前述のカートの直線方向に実質的に垂直にロボットエンドエフェクタを延在させる。図24A〜24Cは、例示目的で、1つの側部に延在するエンドエフェクタ1588´および1590´を示す。理解され得るように、しかしながら、エンドエフェクタ1588´、1590´は、ベースプレートの任意の側部に延在することができる。さらに、エンドエフェクタ1588´、1590´は、ベースプレートの任意の側部に延在することができる。さらには、エンドエフェクタ1588´、1590´は、エンドエフェクタが、図24A〜24Cに示されるように、約90°以上またはそれ以下の角度で配向される配置に延在することができる
図25は、図23に示されるものと同様のアームリンケージを有する、カート1557´´のさらに別の実施形態の略立面図である。この場合において、駆動プーリ1572´´は、下部リンクアーム1592´´に取り付けられる。駆動プーリ1570´´は、エンドエフェクタ駆動プーリ1600´´に結合され、駆動ベルト1598´´によって肘部プーリ1596´´に結合される。肘部駆動プーリは、ロボットエンドエフェクタ1594´´に取り付けられ、駆動エンドエフェクタ1594´´に駆動プーリ1570´´の回転をトランスミットする手段を提供する。図25A〜25Cは、3つの異なる配置でアームリンケージを伴うカートを示す。図25A〜25Cは、例示目的のみで、カートのベースプレート1558´´の一側部に延在されるエンドエフェクタ1594´´を示す。図22〜23および24に示される移送アームと同様に、移送アーム1577
´´は、エンドエフェクタが、カート1557´´のベースプレート1558´´に対して任意の方向で延在/引き込みされるように、軸S´´の周りで回転することができる。ここでさらに図2〜7Aを参照すると、多関節移送アームを伴うカート(図12、13A、22、23、24、および25に示されるカート22、122A、406、229、700、1557、1557´、1557´´等)を使用することの重大な利点は、移送アームの所定の範囲に関して、移送チャンバは、最小限の幅で提供され得るということである。異なるカート実施形態での移送アームの多軸の多関節は、多関節アームの経路に対して、カートの実質的に独立する配置を可能にし、次にそれは、搬送チャンバ18の幅が最小に減少されことを可能にする。同様に、スロットバルブおよび搬送チャンバにストレージ処理モジュールを接続する通路の幅は、最小の大きさに減少することができる。
ここで図15を参照すると、装置10での使用のための例示的ウエハアライナ500が示される。ウエハアライナキャリア500は、概して、2つの部品、ウエハチャック504およびウエハ搬送キャリア502を含むことができる。アライナは、直線カルテシアン搬送ツール内でのウエハのアライメントおよび移動を提供する。アライナは、装置内の搬送カート(例えば、カート22、122A、406、700、1557等)と接合させられ、またはある場合には、直線処理ツールアーキテクチャのロボットカート内に含まれてもよい。
また、図16を参照すると、ウエハチャック504は、ウエハ搬送キャリア502から離されることができるように示される。摩擦パッドは、直線カルテシアン装置での搬送中に2つのデバイスを結合することができる。解体される場合、ウエハチャック504は、ウエハ搬送キャリア502に対して自由に回転する。ウエハチャック504は、基板(ウエハ)506に対して、角度傾斜したウエハエッジパッド508を使用することによって、受動ウエハエッジサポートの手段を提供する。ウエハチャック504の一部としての追加の特徴は、ウエハを除去しウエハキャリア500に置くために、ウエハ506の下で、ロボットアームカートの能力を取り除くことである。これは、ウエハ除去クリアランスゾーン510として識別される。
直線搬送カートに対して、ウエハ回転の本方法は、ロボットのエンドエフェクタに直接的に適用され得る。この方法は、図17で示される。ロボットアームカート534は、ウエハチャック504がロボットのエンドエフェクタ536から除去可能であるように、構成される。この場合、チャックは、自由に回転し、処理モジュールまたはロードロックで見られる減少点の変化に基づいて、あらゆるわずかなウエハノッチ配向の要求を補正する。
また、図18を参照すると、ウエハチャック回転器具532が示される。直線搬送ツール内の多数の点で、これらの回転ウェルが配備され得る。このデバイスは、その全体で参照として本明細書に組み込まれる米国特許第5,720,590号に見られる、モータ隔離技術に基づく。代替実施形態では、従来のモータとシールの組み合わせを使用することができる。固定のモータ522は、直線搬送チャンバのベース530に実装される。真空隔離バリア520は、モータ電機子540と磁石配列524との間に置かれる。磁石配列は、回転シャフト542に直接実装される。これにより、真空システムへの直接的な駆動結合を可能にする。可能なサポートベアリング518は、必要とされ得るが、理想的には、磁気浮上方式が使用される。光学エンコーダーディスク526は、回転シャフト542の角度のため、コントローラへ配置フィードバックを提供する位置へ配置されるリードヘッド528を伴い回転シャフト542に取り付けられる。アライナチャック504は、摩擦パッドまたは運動学的ピン516の上に下げられる。これらのパッド/ピンは、一度ウエハチャック504がウエハキャリア502またはロボットのエンドエフェクタ536から切断されると、ウエハチャック504回転手段を提供する。回転を提供するこの同手段が、図17に示されるロボットアームキャリアの一部分として適用されるロボッティックアームリンク538の回転配置を制御するために適用され得る。
また、図19を参照すると、ウエハチャック504およびウエハ搬送キャリアを含むウエハ搬送キャリア500は、ウエハチャック回転器具532の上の配置に移動される。図20では、ウエハ搬送キャリアは、ウエハチャック504が搬送キャリア502上に離昇されるように、下げられる。搬送チャンバの蓋546に位置するカメラ544は、ウエハの画像を見ることができ、ウエハのx〜yの位置およびウエハのノッチの位置角度を識別することができる。ウエハキャリアは、それから、ウエハ搬送キャリア502に対して、ウエハチャック504のx〜y位置の変更を提供するために、移動されてもよく、回転は、ノッチアライメントを補正するために提供され得る。ロボットアームキャリアデバイス方法として使用される場合の、ウエハチャック回転駆動のための別のオプションは、回転係合を可能にすることであり、ロボットリンクアームを延在し、垂直軸の運動を要求する一方で、基板またはウエハが、処理モジュールまたはロードロックから下げられる/上げられることを可能にする。このアプローチの方法は、図21に概略的に図示される。固定のモータ522は、誘導プレート548に実装される。誘導プレートは、直線搬送チャンバのベース530に、金属ベローズ550または他の直線隔離シール(リップシール、oリング等)を介して、取り付けられる。真空隔離バリア520は、モータ電機子540と磁石配列524との間に置かれる。磁石配列は、回転シャフト542に直接実装される。このことは、真空システムへの直接的な駆動結合を可能にする。サポートベアリング518が必要とされる可能性があるが、理想的には、磁気浮上方式が使用される。光学エンコーダーディスク526は、回転シャフトの542の角度のため、コントローラに配置フィードバックを提供する位置に置かれるリードヘッド528を伴う回転シャフト542に取り付けられる。追加の誘導ローラー552および走行停止部556の端部を伴う支持構造554は、作動デバイスとしての直線ウエハ搬送キャリア500を使用するよりもむしろ、回転駆動が、所望通りの配置で保持され、ウエハチャックまたはロボットアームを係合することを可能にする。搬送チャンバが加圧され、ロボット駆動が配置を上げる状態をもたらす場合、ベローズの力は、スプリングとして作用し、回転デバイスが、様々な直線ロボットアームカートの垂直な高度(回収または配置の間等)に係合することを可能にするが、実用的に限定された垂直な走行範囲に対する。一度、デバイスが係合されると、摩擦パッドまたは運動学的ピン516。これらのパッド/ピンは、図20に示されるように、一度、ウエハチャック504がウエハキャリア502またはロボットのエンドエフェクタ536から切断されると、ウエハチャック504の回転手段を提供する。回転を提供するこの同様の手段は、図17に示されるロボットアームキャリアの一部分として適用されるロボッティックアームリンク538の回転配置を制御するために、適用され得る。
図2〜7に示されるようなシステムは、コントローラCに貯蔵される設定可能な拡張可能なソフトウェアによって制御することができる。ここでさらに図26を参照すると、処理システムに連通可能に接続されるコントローラCで提供されてもよい生産実行システム(MES)ソフトウェアが示される。MESシステム2000は、ソフトウェアモジュール2002〜2016またはMESの能力を強化するオプションを含む。モジュールは、マテリアルコントロールシステム(MCS)2002、リアルタイムディスパッチャ(RTD)2004、ワークフローまたはアクティビティマネージャ(AM)2006、エンジニアリングデータマネジャ(EDA)2008およびコンピュータメンテナンス管理システム(CMMS)2010を含む。MES2002は、メーカーが、その工場資源および処理プランを構成し、インベントリおよび/またはオーダーをトラックし、製造データを収集および分析し、機器を監視し、生産オペレータへワークオーダーを送り、完成した製造品へコンポーネントの消費をトレースすることを可能にする。MCSソフトウェアモジュール2002は、メーカーが個々のカート(例えば、図2〜3、7〜7A、12、13Aおよび22でのカート22、122A、406、228、700、1557)が処理ツールに到着するように効率的にスケジュールすることを可能にし、全体のシステム効率を最大限にする。MCSは、個々のカートが特定の処理ツール(例えば、図7での処理18A、18B、および図7Aでのモジュール602〜626)に到着する時およびそれから離れる時、をスケジュールする。MCSは、任意の各処理ツールでのキューイングおよびルーティング要求を管理し、カート搬送サイクル時間を最小限にする一方で、システムイールドを最適化する。RTD2004は、メーカーが、リアルタイムで、処理ツールの調子からのフィードバックに基づいて、カートルーティング決定を行うことを可能にする。さらには、カートルーティング決定は、MESオペレータによって作ることができる。MESオペレータは、特定の製品が生産される必要のある優先順位を変更することができる。AM2006は、メーカーが全体の生産プロセスを通じて1つ以上の基板を含む任意の所定のカートの経過を監視することを可能にする。処理ツールがエラーを生じる場合、AM2006は、処理ツールで処理される全ての基板に対して最良の残りのルートを決定する。EDA2008は、処理ツールの効率を改善する目的で、メーカーが生産データを分析し、そのデータ上で統計プロセスコントロールアルゴリズム実行することを可能にする。CMMS2010システムは、いつ個々の処理ツール上でメンテナンスが必要とされるか、をメーカーが予測することを可能にする。処理ツールの処理の変動は、監視され、既知の処理結果に対して比較され、処理への変更または処理ツールへのスケジュールされた修復が予測される。
ここで図27を参照すると、本発明のまた別の例示的実施形態による基板処理システム3010が示される。図27のシステム3010は、以下に別段の記載がない限り、前述の図で示される、処理システムおよびツール10、10´、18、18A、18B、601と、概して同様である。同様の特徴は、同様に番号付けられる。システム3010は、概して、基板処理ツール3014を含み、本実施形態では、ツール接合部分3012および3016を含む。前述の例示的実施形態のように、ツール3018は、制御雰囲気を有し、外部雰囲気から隔離される。ツール接合部分3012、3016は、概して、fabにおいて、ツール3014と他の連携システムとの間に接合部分を提供する。例えば、ツール接合部分3012は、自動誘導輸送手段、または他の所望の自動材料ハンドリングシステム等の、fabマス基板搬送システム3001との相互作用のために適切に構成されるEFEMであることができる。EFEM3012は、マス搬送システム3001とEFEMとの間での基板の積み降ろしを可能にするか、またはそれを提供することができ、処理ツール3018に入るための(矢印3000Sによって表示される方向)処理された基板を保持することができる。EFEM3012は、処理ツール3018(矢印3000Pで表示される方向に)から、fab搬送システム3001への逆移送のために処理された基板を受け取ることがさらに可能である。前述のように、本実施形態では、システム3010は、EFEM3012からツール3018の向かい合う端部で、環境セカンドエンドモジュール(ESEM)等の別のツール接合部分3016を有する。ESEM3016は、本実施形態では、EFEM3012と実質的に同様である、例えば、ツール3018から処理された基板を受け取ることが可能であり(図27の矢印3000Pで表示される方向へ)、fab搬送システム3001の隣接部分へ基板を後続移送することを促進することが可能である。望ましい場合、ESEM3016は、処理されていない基板をツール3018へフィードするためにさらに使用することができる。代替実施形態では、処理システムは、ツール端部の1つであるが、ツール接合部分を有することができる。その場合、ツール接合部分が位置する処理ツールの1端部を通して、処理されていない基板は、投入入力され、処理された基板は、産出される。他の代替実施形態では、ツールは、別のツールまたは、制御雰囲気を有する搬送チャンバに、接合されるか、または直接的に接続されてもよい(搬送チャンバ602〜626のための、図7Aに示されるような様式と同様)。図27をさらに参照すると、ツール3018は、概して、基板搬送チャンバ3014および処理モジュール3020、3020Aを含む。前述のように、チャンバ3014は、真空または不活性ガス等の制御雰囲気を有することができ、外部雰囲気から隔離されてもよい。搬送チャンバ3014は、異なる区域3014A、3014B、3014Cを有することができ、各区域が異なる制御雰囲気(例えば、真空、高真空に近似、高真空等)を保持することができるように、互いに隔離されてもよい。図27で見られるように、搬送チャンバ3014は、略直線形を有する。処理モジュール3020、3020Aは、本実施形態では、搬送チャンバ3014の横側部に実装される。処理モジュール3020、3020Aは、互いに同様または異なることができる。例えば、処理ツール3018は、所望通りに、1つ以上のロードロックチャンバモジュール3020A(図27に示される実施形態では、4つのロードロックチャンバモジュール3020Aが存在し、そのうち2つは、ツール接合部分3012、3016とそれぞれ連通する)を有することができ、ツール内の制御雰囲気に影響を与えることなく、ツールを出入りする基板の移動(矢印3000I/Oで表示される方向へ)を可能にする。他の処理モジュールは、誘電または金属沈着、エッチング、イオンインプランテーション、急速熱処理、化学的また機械的研磨、計測等、ツールの基板上で所望の処理を実行するように構成されてもよい。処理モジュールは、搬送チャンバ3018の側部へ接続され、チャンバでのシールを形成し、チャンバ内での制御雰囲気を維持する。処理モジュール3020は、例えば、3000Sの方向にツールを通って進む場合、所望の逐次処理順序を提供するような、任意の所望の順番でチャンバ3014に沿って、配置されてもよい。以下にさらに記載されるように、ツール3018は、基板が受ける処理順序を、単にツールでの処理モジュールの配置の逐次的な順番のみに限定せず、むしろ処理ステップの選択性を可能にする。代替実施形態では、ツール3018の処理モジュールは、それぞれ、実質的に同様の処理を提供することができる。図27で見られるように、ツール3018は、チャンバ3014に位置する、少なくとも1つの搬送輸送手段またはカート3229を有し、その中に1つ以上の基板を保持することが可能である。カート3229は、チャンバ3014内の直線横断(矢印3000Xで表示される方向へ)が可能である。カート3229は、以下に記載されるように、搬送チャンバ3014内部でカート間で基板を移送するための適した操作可能な基板移送器具3160、および処理モジュール3020、3020A(図27の矢印3000Yで表示される方向へ)をさらに有することができる。本実施形態のカート3229は、モータまたは動力システムを伴わず、受動的である。搬送チャンバ3014は、カートと接合する駆動システム3400を含む、チャンバ内のカートを移動し(方向3000X)、カート基板移送器具3160の動作を生じ、基板を移送する(方向3000Yによって表示される)。搬送チャンバ3014は、カート3229および基板の配置を識別するための配置フィードバックシステム3336をさらに含むことができる。駆動システム3400および配置フィードバックシステム3336は、CPUによって操作され、ツールによって処理される基板のための任意の所望の処理順序を選択するために、カートを移動し基板を移送する。図27で見られるように、搬送チャンバ3014は、互いに隣接されるモジュール3016、3016A、3016B、3016Cによって形成される。以下に記載されるように、各モジュール3016、3016A、3016B、3016Cは、統合駆動システムおよび配置フィードバックシステム部分を伴う内臓ユニットであり、各モジュールが個々の搬送チャンバとして動作することを可能にし、任意の所望の数のモジュールの統合が所望の長さの搬送チャンバ304を形成することを可能にする。
搬送チャンバ3014を形成する、搬送チャンバモジュール3016、3016A、3016B、3016Cは、互いに、概して同様である。搬送チャンバモジュール3016、3016A、3016B、3016Cは、異なる長さ、任意の所望の数の処理チャンバモジュールが各搬送チャンバモジュールに接続するための異なる数の接続部を有することができる。図27に示される実施形態では、各搬送チャンバモジュールは、搬送チャンバモジュールの各側部に接続される処理チャンバモジュール3020、3020A、を有することが可能であるが、代替実施形態では、搬送チャンバモジュールは、モジュール3020と同様の多数の処理チャンバモジュールと接合するように構成されてもよい。搬送チャンバモジュール3016、3016A、3016B、3016Cは、チャンバモジュールが、搬送チャンバを形成するために任意の所望の順序で連結されるように、交換可能である。
図28および29は、例示的搬送チャンバモジュール3016(図29は、チャンバモジュール3016に隣接/一体化される際の隣接搬送チャンバモジュール3016、3016Aのファントムの部分をさらに示す。)の断面図である。前述のように、搬送チャンバモジュール3016、3016A、3016B、3016Cは、実質的に同様である。チャンバモジュール3016は、適した形状であり、任意の適した材料から作られることのできるフレーム3016Fを有することができる。フレーム3016Fは、例えば、除去可能なトップパネル3016T等、除去可能なパネルまたは区域を有することができる。除去可能なパネル3016Tは、モジュールフレーム3016Fの台に実装され、モジュールがチャンバを形成する他のモジュールに接続される場合にモジュールから除去されることを可能にする。このことは、チャンバからモジュール全体を除去することなく、モジュール内のコンポーネント/カートにアクセスすることを可能にする。アクセスパネル3016Tは、結果として生じるチャンバモジュールフレームでの開口部30160を通る、カート3229の挿入/除去を可能にするのに十分な大きさであることができる。シール3016Sは、パネル3016Tおよびフレームの接合部分で提供され、搬送チャンバ3014内の制御雰囲気の漏洩を防ぐ。図28で見られるように、フレームは、処理チャンバモジュール3020と連通するためにその中に形成されるポート3016Pを有する。理解され得るように、ポート3016Pは、基板をその上に伴う基板移送器具33060が処理モジュールへのポートを通過することが可能であるように大きさおよび形状を決定される。ポート3016Pは、搬送チャンバモジュールフレーム3016Fに統合されることのできる、適したドアによって、閉口可能であることができ、処理モジュールに実装される。図29で見られるように、フレーム3016Fは、モジュール3016を隣接モジュール3016A、3016に封鎖可能に一体化するため、向き合う縦方向の端部で適した接合特徴3016Iを有する。接合部分3016Iは、任意の適したタイプであることができる。一例として、接合部分3016Iは、隣接モジュール接合の一体となるファセットを補完する、適した配座の特徴を有することができ、隣接モジュールを適切に隣接することが可能にする。機械的ファスナー、または他の適した締め付けあるいは保持特徴等のファスナーは、互いにモジュールを捕捉するために含まれてもよい。接合部分3016I1、3016I2は、連結される場合(およびモジュールが所望の配向にない場合にその間での隣接および接続を避ける場合)、チャンバモジュール3016、3016Aの所望の配向を確立するために分極ファセットを含むことができ、接合特徴3016I1、3016I2は、各モジュールに共通であり、前述のように、モジュールが交換可能になることを可能にする。フレーム3016Fは、本実施形態では、カート3229に十分であるチャンバ空間を画定する。最小限のクリアランスをカートの周りに提供することができ、モジュールを通るカートの自由な移動を可能にする。モジュールフレームの端部開口部3016Rは、カート3229(所望の数の基板Sを保持する)が開口部を通過することを可能であるように大きさを決定され、モジュール3016、3016Aの間を横断する。端部開口部3016Rは、ドア3016Dによって、閉口することができる。ドア3016Dは、モジュールフレームと統合することができ、または、チャンバモジュールが連結される場合、チャンバモジュール間で追加のモジュラー部分として組み込むことができる。
図27〜28で見られるように、モジュールは、カート上でスライド3240と連携するためのサポートまたはガイドレール3040を有し、移動可能に、チャンバ内のカート3229を支持する。本実施形態では、レール3040は、モジュールの底部(カートの下)に位置するが、代替実施形態では、レールは、チャンバモジュールフレームの任意の所望の部分に取り付けることができる。本実施形態では、2つのレール3040が示されるが、それ以上またはそれ以下のレールを使用することができる。レール3040は、モジュールを通って連続的に延在するように示される。レール3040は、カートが、モジュール3016、3016A間を通る場合に、カート上のスライド3240は、カートの安定した姿勢を妨げることなく、距離3040D(各モジュールにおいて)を横断し、隣接モジュール3016、3016Aのレール上に乗ることを開始するように大きさを決定される、モジュール3016の接合部分表面から離れた距離3040Dで終了する。反対には、理解され得るように、カート3229のスライド3240は、カートがモジュール3016、3016A間を通り、スライド3240が1つのモジュール3016、3016Aのレール3040から隣接モジュールの隣接レールの区分に横断する場合、安定した支持をカートに提供し続けるように大きさを決定される。
図27〜28をさらに参照すると、モジュール3016は、カート駆動システム3400の統合部分を有する。本実施形態では、システムは、直線電気モータであるが、代替実施形態では、ケーブル駆動等、任意の適したタイプの電気的または機械的駆動システムを使用することができる。図28〜29で示される実施形態では、駆動システムは、TecnotionまたはAnoradより入手可能であるコアレス直線モータ等のコアレス直線駆動システムである。図29では、搬送チャンバモジュール3016に統合される駆動システム部分は、モジュールの各側部に3つのセットの巻き線3402、3402A、3402B、3404、3404A、3404Bを有するように示される。図28で見られるように、巻き線3402、3404のセットのそれぞれは、カート3229で対応するプラテン3168、3172と連携する。巻き線3402、3404は、商業化可能な標準的な長さを含む、任意の所望の長さであることができる。代替実施形態では、任意の所望の数の巻き線を使用することができ、チャンバの各側部でカートプラテンを駆動する。図28で見られるように、コアレスモータ巻き線3402、3404は、チャンバに突出し、カートのプラテン3168、3172と接合する。代替実施形態では、直線モータは、前述の、図11A〜11Bに示されるモータ400と同様の鉄コア直線モータであることができる。その場合、モータ巻き線は、図11A〜11Bの部分414と同様の内側フレーム部分によって、チャンバから隔離することができる。モジュールの各側部での巻き線340L、340SA、3402B、および3404、3404A、3404Bは、単一の軸に沿ってそれぞれ配置することができ、それにより、各側部に1つの駆動軸を提供する。代替実施形態では、巻き線は、各側部に、多数の駆動軸を提供するように配置することができる。他の実施形態では、例えば、鉄コア直線モータ巻き線が使用される場合等、巻き線は、XとZの方向の両方での駆動軸(即ち、図13B〜13Cに示される前述の巻き線の配置と同様に、縦方向の駆動軸間でカートを入れ替えるための、2つのチャンバに沿って直線で、さらに垂直な駆動軸)を提供するように配置することができる。巻き線3402〜3402B、および3404〜3404Bは、さらに、各駆動軸に沿い、モジュール接合部分3016I1、3016I2、mに対して大きさを決定され、配置されてもよく、隣接するモジュール3016での次の最も近似の巻き線3400Bと連携で、隣接するモジュールの接合部分の領域を横断する、プラテンでの連続的推進力を維持し、カートが1つのモジュール3016、3016Aから他へ横断することを可能にする。コントロールシステム3790は、CPUにより制御されるが、巻き線の操作を制御するために提供される。図29では、モジュール3016の駆動軸巻き線3402〜3402Bの1つのセットのみが、コントロール3790に接続されるように示されるが、巻き線の両方のセットが同様の様式で制御される。巻き線コントロールシステム3790は、図13Dの前述の巻き線コントロールシステム790と、概して同様である。巻き線コントロールまたは駆動システム3790は、概して、マルチプレクサ3793および増幅器モジュール3794を有する。増幅器モジュール3794は、マルチプレクサ3793を介して接続することができ、カートプラテンを移動するための所望の順序で各駆動軸に沿って、巻き線3402、3402A、3402Bを駆動する。巻き線への順序付けおよび増幅器の接続は、CPUによって制御される。CPUは、以下にさらに記述されるように、モジュールの配置フィードバックシステム3336と連通することができ、増幅器接続および巻き線の駆動順序を決定する。巻き線コントロールシステム3790は、モジュール3016への専用の個別システムであることができる。例えば、コントロールシステム3790は、モジュール3016に置くか、実装するか、または組み込むことができる(コントロールシステム3790は、モジュールフレームに配置される必要はなく、必要に応じて、別々のハウジング(図示せず)に入れられてもよい)。コントロールシステム3790は、適したフィードスルーを使用する場合、チャンバに貫通する適した伝達線を通して、巻き線3402、3402A、3402Bと連通することができる。図29では、専用伝達線は、個々に電荷壁を通過するように示され、例示目的で、伝達線は、チャンバ壁に貫通する最小数でのフィードを可能にするように統合することができる。コントロールシステム3790は、適した結合部3790Cを含むことができ、コントロールシステム3790がツールのアセンブリ上でCPUに接続することを可能にする。図29で見られるように、モジュール3016は、巻き線の伝達線をコントロールシステム3790に結合するための、別の配線3401Cを有することができる(例えば、モジュールの側部に実装されるかまたは置かれる)。結合部3401Cは、専用モジュール巻き線コントロールシステムが望ましくない場合に、巻き線が処理ツールの中央巻き線コントロールシステムに接続することをさらに可能にすることができる。
ここで図30を参照すると、カート3229の底面図がここに示される。カートは、任意の適した構造を有することができる。本実施形態では、カートは、図12〜12Bに示される、前述のカート229に実質的に同様である。前述のように、カート3229は、2つのプラテン3168、3172を有する。プラテン3168、3172は、永久磁石または磁性材料を有し、図28に示されるように、コアレス直線巻き線3402、3404で動作するように構成される。本実施形態のプラテン3168は、カートフレーム3156に固定して実装される。プラテン3172は、適合されたスライド3156Sによって等、カートのフレーム3156に移動可能に固定される。プラテン372は、つまりは、カートフレーム3156に通路の制御移動(図30で示される矢印3229Xで表示される方向へ)が可能である。首尾停止部は、フレームに対するプラテン3172の運動を制限する。前述のカート229のプラテン172と同様に、カート3229に通路のプラテン317Lの追加の移動性は、カートに、延在および引き込みのために基板移送器具3160を操作するように変換される、さらなる自由度を提供する。基板移送器具3160は、カート229のテレスコーピング区域158、160(図12A、12B参照のこと)に実質的に同様である。つまりは、移送器具3160は、前述のエンドエフェクタ158と同様のエンドエフェクタで終了する、任意の適した多数のテレスコーピング区域を含むことができる。移送器具160は、カート229のシステムと同様に、適したトランスミッションシステムによって、移動可能なプラテン3172に接続することができ、プラテンの相対移動を移送器具3160の移動(つまりは、図28の矢印3000Y1、3000Y2で表示される方向への基板の移動)に変換する。(Z軸)は、巻き線3402、3404の電源を切る/加圧によって、および基板Sを回収/配置するカートを上げ/下げすることにより、発生することができる。代替実施形態では、カートの基板移送器具は、1つ以上の多関節区域を有し、例えば、スカラ型アーム等の、任意の適したタイプであることができる。様々な移送器具区域の個々の運動のための、さらなる個々の自由度は、プラテン3172と同様に、カートに対して個々に移動可能になるように実装される、カートへの追加のプラテンの追加によって、提供されてもよい。代替実施形態では、カートは、図22〜23に説明される前述のカート1558と同様であることができ、または、図24、24A〜24Cおよび図25、25A〜25Cにそれぞれ示されるカート1558´および1558´´と同様であることができる。
ここで図28〜29をさらに参照すると、前述のように、搬送モジュールチャンバ3016は、モジュールでのプラテン/カートの配置を決定し制御するための統合配置フィードバックシステム3336をさらに有する。図28〜29で示される実施形態では、配置フィードバックシステム3336Sは、正確に約1〜5μmの範囲での配置決め分解能を有するように、微妙な精密決定をすることが可能である。モジュール3016は、配置決め分解能を有し、約10〜20μmの正確性を有するような、概略または大まかな配置決定をすることが可能である別の配置フィードバックシステム3340を有することができる。微妙な配置決定システム3336は、直線電気的エンコーダシステムであることができる。適した直線エンコーダシステムは、Netzer precision Motion Sensors, Ltd.,またはFarrand Corp.から入手可能である。代替実施形態では、モジュールは、電気光学エンコーダ、または磁気拘束型ホール効果検知システム等の、微妙な精密決定が可能である、任意の他の適したタイプの配置決定システムを有することができる。本実施形態では、微妙な配置決めシステム3336は、直線スケール3336Sを含むことができる。直線スケール3336Sは、モジュールフレーム3016Fの側部表面に実装され、カート3229上で受動センサ登録特徴N1−N4(図30参照のこと)と相互作用する。代替実施形態では、スケールは、カート上で、登録特徴を検知するための適した配置にスケールを配置するモジュールの任意の他の部分に配置されてもよい。図28〜29で概略的に説明される、スケール3336Sは、適した伝達線3336Cを介して、適したACソース(図示せず)から励磁される、電気的な活性化要素である。例えば、スケールは、周期的パターンフィールドトランスミッタがプリントされる1つ以上のプリントされた回路ストリップを含むことができる。本実施形態では、スケール3336Sは、カート3229上の登録特徴がスケールに沿って移動する際、トランスミッタのフィールドの変化を検知することが可能であるレシーバーをさらに含むことができる。本実施形態では、スケールは、チャンバモジュールの向かい合う端部で、モジュール接合部分3016I1と3016I2との間を連続的に延在することができる。代替実施形態では、スケールは、微妙な配置決定が望まれるモジュールの範囲で、モジュールに部分的にのみ延在することができる。本実施形態では、スケール3336Sは、多数の検知トラック3336S1〜3336S5を含むことができ、それぞれは、カート3229上で、対応するセンサ登録特徴N1〜N5の配置を検知することができる。図30で見られるように、カート3229は、多数のセンサ登録特徴N1〜N5を有することができる。前述のように、本実施形態のセンサ登録特徴N1〜N5は、受動(即ち、動力なし)であり、磁石または磁性材料を含むことができる。図30に示される実施形態では、カート3229は、5つのセンサ登録特徴N1〜N5を有することができ、カート3229と、さらに、移動可能なプラテンの配置を可能にする。右のN4、N3、および左のN1、N2等の、特徴のうちの2つは、カートの右および左の側部のそれぞれの登録および配置のために使用することができる。本実施形態の特徴N5は、移動可能なプラテンの配置の登録のために使用される。図28および30で見られるように、この場合、レール3336S1〜3336S4と相互作用するのに十分な近位で、カートの底部に配置される、登録特徴N1〜N4は、スケール3336S(図29をさらに参照のこと)の対応する検知トラック3336S1〜3336S4と実質的に揃いし、横方向にオフセットである。さらに、カート3229の右および左の側部の配置決定にそれぞれ使用される、登録特徴N3−N4、およびN1−N2は、カートがモジュール3016、3016A間を横断する時、カートの連続的配置決定に十分である縦方向のピッチ3000Aでオフセットされる。例えば、1つのモジュールから次のモジュールへの通路の中で、オフセット3000Aは、最先部登録特徴N1、N3が、カートが入るモジュールの対応する検知トラック(トラック3336S1、3336S3と同様)と相互作用を開始する(即ち、配置決定が開始されている)後まで、最後部登録特徴N2、N4が、カートが出るモジュールの対応するトラック3336S2、3336S4との相互作用を維持することを可能にする。つまりは、カート3229の配置は、チャンバ3014(図27を参照のこと)内でのカート横断運動を通して、連続的に確立される。プラテン3172での登録特徴N5は、トラック3336S5との連携で、上述と同様の様式でのプラテン3172の配置決定を可能にする。特徴N1〜N4およびN5(例えば、CPUによって実行される)に対して登録される配置信号の比較は、移動可能なプラテン3172の相対配置の決定を可能にする。相対配置情報は、それから、カートの基板移送器具3160の活動化を制御するために使用されてもよい。代替実施形態では、カートは、登録特徴の任意の他の適した配置を有することができ、カートのそれぞれの側部の配置決定のための1つの登録特徴等、それ以上またはそれ以下の登録特徴を有することができる。代替実施形態では、配置決定は、横断配置システム3340を使用した大まかな配置決定、と精密配置システム3336を伴う微妙な配置決定との組み合わせで実現されてもよい。例えば、粗配置システム3340(ホール効果型配置検知システムまたは電気光学エンコーダシステム等の任意の適した配置決定システムであることができ、費用をよりかけずにモジュールチャンバ全体に組み込むことができる)は、チャンバモジュール3016を通るカート3229の略横断な運動中、さらに、カートが1つのモジュールから別のモジュールへ移動する際の配置決めのために、使用されてもよい。精密配置システム3336は、それから、より正確な配置決定の正確性が望ましい場合等、より限定された方式で、使用されてもよい。例えば、処理モジュール3020、3020Aに基板を移送する際に、カート3229、さらには、プラテン3172の配置を、精密に決定することが望ましい。従って、アクティブスケールの組み込みの場合、3336Sは、処理モジュール3020と連通するポート3016P(図27を参照のこと)が位置される領域に、概して一致するように大きさを決定されてもよい。さらに、1つの側部当たりの単一の登録特徴、および移動可能なプラテン3172に対する別の登録特徴は、カート3229、およびプラテン3172の微妙な配置決定に十分あることができ、基板移送器具3160の正確な移動を可能にする。図29から理解することができるように、粗いおよび微妙な配置決定システム3340、3386からの信号は、適した線3336Cを介して、または、ワイヤレス手段を介して、CPUによる処理のために、連通し、それは、次に、巻き線コントロールシステム3790(図29を参照のこと)を通じて、巻き線を制御するための配置情報を使用する。伝達線3336Cは、オフモジュールCPUに結合するための1つ以上の結合部(結合部3790Cと同様)を有するが、モジュールの配置システム3340、3336は、さらに、専用巻き線コントロールシステムのプロセッサに直接的に連通することができ、それによりチャンバモジュール3016は、自律的に、全体のツールコントロールアーキテクチャに対して、巻き線の操作を制御し、所望のカート32229の移動をもたらし、その上の器具を移送することができる。
理解され得るように、各搬送チャンバモジュール3016、3016Aは、上述のようなシステムを含み、モジュールが処理ツールのための完全な搬送チャンバを形成することを可能にする。例えば、ツール3018は、図5に示されるツール18と同様の構造で、異なるが交換可能であるモジュール3016、3016A、3016B、3016C、から選択される、1つのモジュールの搬送チャンバ3014を有するように構成されてもよい。図27に示されるように、モジュール3016、3016A、3016B、3016Cは、または、搬送チャンバ3014を形成するモジュールおよび所望の構造のツール3018の共通の接合部分を隣接することにより、任意の選択された順番で、連結されてもよい。各モジュール3016、3016A、3016B、3016Cの自律的過剰能力は、ツールのアセンブリがモジュール接合部分での機械的接続の遂行をできるだけ容易に生じさせることを可能にする。
ここで図31を参照すると、別の例示的実施形態による基板処理装置4010の略平面図が示される。図31に説明される処理装置4010は、別段の記載がない限り、前述の、図2〜7A、27に示される、処理装置10、10、601および3010と概して同様である。同様の特徴は、同様の番号が付けられる。理解され得るように、図で説明される他の例示的実施形態と同様に、図31に示される実施形態の装置4010の配置は、単に例示的であり、代替実施形態では、処理装置は、任意の他の所望の形状/構造を有することができる。前述の例示的実施形態の処理装置と同様に、装置4010は、概して、接合部分区域4014、搬送チャンバ区域4018および処理基板保持区域4300を有する。接合部分区域4014は、例えばEFEMモジュール14と同様の(例えば、図2〜3を参照のこと)モジュールまたは区域4014Aを有することができる。接合部分区域4014は、装置の他の区域(搬送チャンバ区域等)と連通することができ、装置から基板を積み/降ろしをするために、装置4014が、FAB AMHS等の基板周辺機器システムに接合または手動接合することを可能にする。図31に示される、例示的実施形態での接合部分区域モジュール4014Aの数および位置は、代表であり、代替実施形態では、装置任意の所望の配置に位置するそれ以上またはそれ以下の接合モジュールが存在することができる。搬送チャンバ区域は、概して、搬送チャンバ4018を含む。搬送チャンバ4018は、前述の、図2〜7Aおよび27に示される、搬送チャンバ18、18A、18Bおよび搬送チャンバ3014と、概して同様であることができる。処理/基板保持区域は、概して、以下にさらに記載されるように、装置の搬送チャンバ4018に連通可能に接続される、1つ以上の基板処理/保持モジュールを有することができ、その中での基板移送方向を可能にする。例示的実施形態では、搬送チャンバ4018は、選択的に変動可能な長さおよび形状を有することができる。図31に示される、例示的実施形態の搬送チャンバ4018は、例えば搬送チャンバモジュール18P1〜18P4(図7を参照のこと)と同様に、搬送チャンバモジュールからモジュール形成されてもよく、それは、直線状に、または2次元または3次元の配列で、逐次接続されることができ(以下にさらに記載されるように)、搬送チャンバを形成する。図31に示されるように、例示的実施形態では、搬送チャンバ4018は、例えば、図7Aに示される例示的実施形態のFAB施設レイアウト601と同様に、FABを通り抜けるように延在し、配置されてもよい。前述のように、搬送チャンバ4018(さらに全体の装置)の配置は、単に例示的であり、代替実施形態では、搬送チャンバは、任意の他の所望の配置を有することができる。代替実施形態では、搬送チャンバは、例えば、インターベイおよびイントラベイ組織スキーム(処理は、処理「ベイ」によって組織化されてもよく、関連する搬送チャンバ区域は、図7のチャンバ18Aと同様に、「イントラベイ」区域と示されてもよく、搬送チャンバの中間区域は、「イントラベイ」区域とリンクし、「インターベイ」区域と示されるこことができる)に従って、配置されてもよい。前述にあり、以下にさらに詳細に記載されるように、処理装置4010およびその搬送チャンバ4018における多様性の固有性は、処理の有機的配置として示され得るもの(例えば、処理/保持モジュール4300)および図31に示される例示的実施形態に説明されるようなFAB内の関連する搬送チャンバ(図7Aをさらに参照のこと)を有効にする。他の代替実施形態では、搬送チャンバモジュールは、図5に示される搬送チャンバ18と同様の搬送チャンバを形成するように配置することができる。搬送装置4010は、チャンバを通って、処理区域モジュール間で、接合部分区域モジュール4014から処理区域モジュールへ基板を搬送し、降ろすために接合部分区域モジュールへ処理された基板を戻すことが可能である、直線状の分散搬送システム4400を、さらに有することができる。搬送チャンバは、所定の横断区域を通る1つ以上の搬送経路を有することができる。例示的実施形態では、搬送システムは、前述のカート22A、27A、406と同様の、1つ以上のカート4406を有することができる。例示的実施形態では、カート4406(例えば、1次元、2次元または3次元の軸に沿って)は、直線モータによって、搬送チャンバを通って、個々に、推進されてもよい。例えば、搬送システム4400の直線モータは、鉄コア直線モータ、直線ステッパモータまたは任意の他の所望のタイプの直線モータから構成される区域直線モータで、直線モータとしてブラシレスであることができる。直線モータは、搬送チャンバの側壁に曝露される、巻き線セット402、404(図11Aを参照のこと)または巻き線セット770A、770B、770C(図13B〜13Cを参照のこと)と同様の巻き線セットを有することができる。直線モータは、巻き線322(図10を参照のこと)または巻き線340L、3404(図28を参照のこと)と同様の巻き線セットを有することができる。代替実施形態では、カートは、任意の適した駆動システムによって、駆動されてもよい。他の代替実施形態では、直線状の分散搬送システムは、任意の所望の構造を有することができる。
搬送チャンバ401Bは、交換可能または外部雰囲気から隔離される隔離雰囲気であってもよい。例えば、ロードロック18P4(図7参照のこと)と同様に搬送チャンバに統合する、または連通可能に搬送チャンバに接合されるロードロックは、搬送チャンバ内の隔離雰囲気、あるいはその隔離部分と、基板モジュール(例えば、接合部分区域4014のEFEMモジュール)または外部雰囲気に曝される保持ステーションとの間で、相互作用する。示される例示的実施形態では、搬送チャンバ4018は、例えば、搬送チャンバの長さに沿って処理される様々な処理に対応する、その長さに沿う、異なる内部雰囲気を有することができる。図31に描かれる例示的実施形態に示される処理は、代表的であり、図7Aに描かれる前述の例示的実施形態に示されるFAB601での処理に概して、同様である。例えば、処理は、エピタキシャルシリコン(EPI)、誘電体沈着またはフォトリソグラフィ、エッチング、イオンインプランテーションまたは急速熱処理、計測、誘電体沈着等を含むことができる。同様に、搬送チャンバの区域は、例えば、その範囲内に異なるガス球を有することができ、真空(例えば、粗真空または高真空のレベル)であることができる。例示的実施形態の搬送チャンバは、各雰囲気を漏洩することなく、異なる雰囲気を保持する搬送チャンバの異なる区域間での連通を可能にするロードロックモジュール4656を有することができる。ロードロックモジュール4656は、ロードロックモジュール656(図7Aを参照のこと)と同様であることができ、カート4406が、ロードロックモジュールを通って、1つのガス種/圧力(例えば、真空、窒素、アルゴン)を伴う1つの搬送チャンバ区域から、異なるガス種/圧力を伴う別の搬送チャンバ区域へ走行することを可能にする、隔離バルブ4654を伴う。ロードロックモジュール4656は、単一のカートまたは多数のカート4406を通過することが可能である。多数のカート4406は、以下にさらに説明されるように、ロードロック4656に、1つの直線搬送経路(例えば、経路で逐次キューされるカート)に沿って、または2つ以上の搬送経路(例えば、横方向の積み重ね)で、配列されてもよい。図7Aに示される例示的実施形態のFABレイアウト601と同様に、他のロックまたは相互接続467Lは、例えば、カート配向および/または方向、および/または搬送チャンバの交差する区域4602、4612、4604間での既知の通過の高度を変更するために、搬送チャンバで提供されてもよい。前述のように、図31は、装置4010の平面図を概略的に示し、高度の差異は、示される実施形態では明らかではないが、処理モジュール4300の搬送チャンバ4018の区域、または接合部分区域4014区域は、異なる高度であるということが理解されるべきである。例えば、図31に示される処理モジュール/基板保持ステーションは、搬送チャンバ4018に沿うプランで配列され得るが、例示的実施形態では、処理モジュールおよび保持ステーションは、以下にさらに記載されるように、垂直に配列されてもよく、および/または搬送チャンバに沿った異なる高度で位置することができる。
図31をさらに参照し、前述のように、例示的実施形態では、搬送チャンバ4018は、カート4406、つまりはカートによって運ばれる基板が、搬送チャンバの直線区域4602、4604、4612、4620、4624の1つ以上で搬送されることのできる、多数の搬送経路を形成することができる。図31では、2つの搬送経路A、Rが軸方向にオフセットであるように概略的に示される。前述の例示的実施形態(例えば、図13Cを参照のこと)と同様に、および以下にさらに記述されるように、搬送経路A、R間の軸方向オフセットは、搬送チャンバ4018の所定の横断区域を通る経路に沿ってカートを移動することが可能であるのに十分であり、互いに通過する。例示的実施形態では、搬送経路は、垂直にオフセットであることができる。理解され得るように、例示的実施形態では、前述のように、搬送経路は、搬送チャンバ壁内またはその上で、直線モータ巻き線配置によって、少なくとも概して、画定される。図31では、2つの搬送経路A、Rが例示目的で示され、代替実施形態では、それ以上またはそれ以下の搬送経路は、搬送チャンバの直線区域の1つ以上に置かれてもよい。他の代替実施形態では、経路は、任意の他の適した軸方向オフセットを有することができる。例示的実施形態では、搬送経路A、Rの少なくとも1つは、経路に配置されるカートが接合部分区域4014または、搬送チャンバと一体化する処理モジュール4300にアクセス可能になるように、(例えば、その間での基板移送を生じさせる)配置されてもよい。搬送経路の1つ以上は、バイパスレーンであることができ、カートが、チャンバで停止したカートを通りすぎることを可能にする。例示的実施形態では、搬送経路A、Rは、カート4406の各経路での双方向移動を可能にすることができる。コントローラCは、単一の搬送方向を有するように、搬送経路A、Rまたはその所望の部分(例えば、搬送チャンバ区域4602、4612Aの搬送経路A)を画定することができる。例えば、チャンバ4602の経路Aは、前進経路としてコントローラCによって画定されることができ、積み/降ろし区域4014からカートを移動し(図31では左へ)、経路Rは、戻り経路(区域4014へ向かう)として画定されてもよい。つまり、カート、およびそれらの基板は、経路Aの積み/降ろしステーション4014から離れるように移動させられることができ、搬送チャンバ4602に沿って処理モジュール4630に基板を搬送する。カート4406は、経路Rの積み/降ろしステーションに基板を持っていくことができる(例えば処理後)。経路の切り替えまたは接続は、搬送チャンバ4602で、垂直経路705(図31Cを参照のこと)と同様に、経路間でカートを移送するように機能することができる。理解され得るように、経路Rは、カートが停止し処理モジュール4630にアクセスする場合など、経路Aで停止されるカートをバイパスするために使用することができ、反対には、経路Aは、カートが経路Rで停止し、トラックRからアクセスされることのできるこれらの処理モジュール4630にアクセスする場合等、経路Rのブロックされた部分をバイパスするために使用することができる。さらなる例として、例示的実施形態では、搬送チャンバ4612の経路Aは、相互接続モジュール4672(図31を参照のこと)から離れる搬送方向を画定するコントローラを有することができ、経路Rは、モジュール4672に向かう画定されたその方向を有することができる。つまりは、例えば、カート4406は、モジュール4672から処理モジュール4631、および/またはチャンバ4612の経路Aの積み降ろしステーション4014Bへ(理解され得るように、例示的実施形態では、カートは、チャンバ4602の経路Aに沿って、積みモジュール4014からモジュール4672へ等、基板を持っていくことができる)、基板を移動することができる。カート4406は、経路Rのモジュール4672に向かって移動させられてもよい。前述のように、例示的実施形態では、相互接続モジュール4672は、移送チャンバ区域(例えば、4602から4612または4604へ、およびその逆)間でモジュール4672を介して通過するために、カート4606が方向/配向を変更することを可能にすることができる。代替実施形態では、相互接続モジュールは、例えば、カートから接続モジュールへ、およびモジュールから隣接搬送チャンバの区域の他のカートへ移送される基板等の、通り抜ける基板として動作することができる。図31に見られるように、搬送経路でコントローラCによって画定される搬送方向は、搬送チャンバ直線区域の一部分に対して延在することができる。搬送チャンバの直線区域内の所定の搬送経路A、Rの異なる部分は、異なる確定される方向を有することができる。例えば、搬送チャンバ区域4612A内で、経路Aの画定方向は、モジュール4672から離され、経路Rの画定方向は、モジュール4672に向かう。搬送チャンバ4612の他の部分では、経路方向は、例えば、逆であることができる。前述のように、搬送経路(図示せず)の相互接続は、カート4406が経路間を通過することを可能にすることができる(例えば、1つの経路に沿う画定方向が逆になる/切り替わる場合に、走行方向を逆にするか、または同じ方向を継続する)。コントローラCは、各搬送チャンバ区域で処理モジュールによって実行される処理によって、および装置およびファミリー内で、最適な基板FAB時間をもたらし、WIPを減少するために、搬送経路A、R、またはそれらの一部分の方向を画定することができる。例えば、基板は、1つの積みステーションで装置に積まれることができ、異なる降ろしステーションで降ろされてもよい。例えば、図31に示される例示的実施形態では、コントローラCは、最適な処理をもたらすために、基板を送るようにさらにプログラムされることができる。処理された基板(積みステーション4014Aを介して装置4010に積まれていることができる)は、経路Aに沿って(例えば、モジュール4672から離れる方向で)または経路Rに沿って(例えば、モジュール4672に向かう方向で)、積み/降ろしステーション4014Bから降ろすために、搬送されることができる。代替実施形態では、搬送経路は、任意の所望の搬送方向を有することができる。
図31をさらに参照すると、例示的実施形態では、処理装置の搬送チャンバは、補助の搬送チャンバまたは通路4570を含むことができる。補助搬送通路4570は、以下にさらに記載される、搬送チャンバ4018の搬送能力を補助するために、概して、動作する。例示的実施形態では、搬送通路4570は、伝達通過通路またはチャンバとして動作することができる。伝達という用語は、概して、中間ステーションをバイパスする、出発地と目的地のステーションとの間の実質的に連続する通過を示す。代替実施形態では、補助搬送通路は、任意の他の所望の様式で動作することができ、搬送チャンバ4018の搬送能力を補助する(例えば、シャント、バッファ等)。図31に示される例示的実施形態では、搬送通路4570は、所望の位置で、搬送チャンバ4018およびさらに1つ以上のツール接合部分4014に接続される。搬送通路4570は、通過通路の長さを横断することが可能である、搬送シャトルまたは輸送手段4571を有することができる。シャトル4571は、基板または基板キャリアを保持し、通過通路4570の長さを通って基板またはキャリアを搬送することが可能である。代替実施形態では、搬送通路は、カート4406と同様のカートが搬送通路を通過することが可能であるように構成されてもよい。搬送通路4570は、直線状の細長い管を有することができ、それぞれは、N2または真空等の隔離雰囲気を保持することができ、または、所望の濾過を通して循環することができる高清浄空気の雰囲気を有することができる。図31に示される例示的実施形態では、搬送通路4570は、例えば、概して、搬送チャンバ区域4612に沿って延在するように、概略的に描かれる通路管4572を含む。代替実施形態では、各搬送チャンバ区域4602、4604、4612、4608は、通路管4572と同様の補足する搬送通路を有することができる。示される例示的実施形態では、搬送通路は、さらに、搬送チャンバの区域4612と4608との間で終了する通路管4573を有する。代替実施形態では、補助搬送通路は、別の所望の通路管を有することができる。示される例示的実施形態の搬送通路4570は、通路管4572を搬送チャンバ4018の所望のモジュール4656、4658に接続する相互接続通路4576、4578(2つが例示目的で示され、代替実施形態では、それ以上またはそれ以下の相互接続通路が存在することができる)を有する。示される例示的実施形態では、相互接続通路管4576は、中間ロードロック(LL)モジュール4656に連結することができ、別の相互接続通路管4578は、例示的実施形態で、搬送チャンバの直線部分4612の端部に位置する別のLLモジュール4658に連結することができる。代替実施形態では、相互接続通路は、ロードロックではない搬送チャンバモジュール4518等の、搬送チャンバの任意の所望の部分に連結することができる。理解されることができるように、搬送通路4570の他の通路管4573は、同様の相互接続通路を介して、関連する搬送チャンバの区域4612、4608の対応する部分に接続されることができる。例示的実施形態では、他の相互接続通路管4575は、通路管4572、4573を互いに連結することができる。通路管対通路管の相互接続は、通路管間を通過するための輸送手段4571の方向および/または配向を変更するための適した手段を有することができる。代替実施形態では、通路相互接続は、隣接通路管の輸送手段間で、基板またはキャリアを移送することが可能である移送システムを有することができる。他の代替実施形態では、通路管は、直接的には相互接続されないが、通路管と搬送チャンバの対応する区域との間で、別々の接続を介して連通することができる。相互接続通路管は、搬送チャンバ4018と搬送通路4570との間の1つ以上の基板の通路を可能にする大きさに決定されることができる。通過通路と搬送チャンバとの間で、相互接続通路を通って、加工材料を移動するための移送システム(図示せず)は、以下にさらに詳細に記載されるように、通過通路または搬送チャンバに提供されることができる。搬送通路4570は、直線搬送チャンバ4018に通路する任意の所望の配置に位置することができ、相互接続通路が搬送チャンバに連結されることを可能にする。例えば、搬送通路管4572は、搬送チャンバ区域4612の側部または下部に沿う上部に位置することができる。相互接続通路は、搬送チャンバモジュールでの閉口可能な開口部718A(図13C参照のこと)または閉口可能な上部開口部と同様である側部開口部等の、搬送チャンバモジュールの任意の所望の加工材料通過開口部と一体化されることができる。通過開口部は、適したバルブ(側部開口部のためのスロットバルブ4654と同様)によって閉口することができ、通過通路から搬送チャンバ雰囲気を隔離する。代替実施形態では、搬送通路管は、搬送チャンバに通路で角度を付けられるような、任意の他の所望の配向を有することができる。図31に示される例示的実施形態では、搬送通路は、ツール接合部分区域4014Aと連通する通路4574(例えば、1つが示される)を有し、加工材料が接合部分区域4014Aからのシャトル4571から積まれる/降ろされることを可能にする。理解されることができるように、シャトル4574は、例えば、接合部分区域4014Aと相互接続通路4576、4578との間で、搬送通路4570内での実質的に連続した移動を可能にすることができ、つまりは、接合部分区域4014Aと、相互接続通路4576、4578、または通路4576、4578と、の間で、搬送通路の制御雰囲気において基板を通過することができ、それにより、搬送チャンバ4018の一部を通って基板がバイパス搬送することを可能にする。例示的実施形態では、通路管4572、4573または搬送管4570は、共通の雰囲気を有することができ、搬送通路を通る通過時間は、雰囲気サイクル時間に影響されないことができる。従って、所望のステーション間の通過時間は、搬送チャンバ4018と比較して、搬送通路4570を介してより短くあることができる。さらには、搬送チャンバ4018の一部分をバイパスすることにより、処理ツール4010のスループットは、増加することができ、WIPは、減少することができる。一例として、1つの接合ステーション4014から等、「ホットロット」に対する転回時間は減少することができる。例えば、単一の加工材料(「ホットロット」)キャリアは、FAB AMHS(図示せず)によって、処理モジュール4031で「ホットロット」基板が処理されるツール接合部分区域4014Aで、積まれることができる。基板は、適した移送システム(接合部分区域でのインデクサー等)によって、接合部分区域4014Aから回収され、シャトル4571に置かれることができる。シャトル4571は、通路4570を通って、相互接続通路4576へ通過し、加工材料は、別の適した移送システム(図示せず)によってロードロック4656に積まれることができる。つまりは、加工材料は、積み位置から所望の処理ステップに近位のツール4010の一部分へ伝達される。LL4656は、搬送システム4400の1つ以上のカート4406がシャトル4571から基板へアクセスすることを可能にするように循環させられることができる。例えば、インデキシングシステムは、シャトルからカートへ基板を移動することができる。加工材料は、カート4406によって、搬送チャンバ4612を通って移動することができ、処理のための所望の処理モジュール4631から積み降ろしすることができる。所望の処理が完了すると、加工材料は、例えば、相互接続通路4578が接続されるLLの近くに位置することができる。従って、加工材料は、搬送チャンバ4612内で、シャトル4571に積むためのこのLLに搬送されることができる。LLは、搬送チャンバ4612内の異なる雰囲気を漏洩することなく、通過通路でシャトル4571の上へ処理された加工材料を積むために、アクセスを促進するように循環させられることができる。シャトル4571は、積み出しのために、ツール接合部分区域4014A(通路4574を介して)または接合部分区域4014B(通路4576を介して)等の所定の位置へ、処理された加工材料を伝達することができる。代替実施形態では、伝達通過通路は、任意の所望の長さおよび構造を有することができ、加工材料移送が、例えば、計測、加工材料ストッカー(WS)またはキャリアストッカー(CS)区域、リソグラフィ区域4634、等を含む、処理ツール510任意の所望の部分を含むことを可能にするように連通することができる。
ここで図32を参照すると、別の例示的実施形態による処理装置5010の代表的部分の略立面図が示される。処理装置5010の代表的部分は、図31に示される装置4010の代表的部分およびそれと同様のもの部分である。同様の特徴は、同様の番号を付けられる。図32に示される代表的部分は、例えば、図31に示される搬送チャンバ4018の直線区域4012と同様である、搬送チャンバ5018の直線チャンバ部分5012を有する。図32に示される例示的実施形態の確認は、単に例示的であり、代替実施形態では、任意の他の適した構造が、装置の示される部分に対して用いられることができる。例示的実施形態では、搬送チャンバ5012は、接合部分区域5014A、5014C(図31を参照して、接合部分区域4014A、4014B、4014Cと同様)と連通するように概略的に示される。例示的実施形態では、接合部分区域5014A、5014Cは、例示的目的で、搬送チャンバの区域5012の端部に位置することができ、代替実施形態では、接合部分区域は、搬送チャンバに沿う1つ以上の中間位置で位置することができ(例えば、図31の接合部分区域4014Bのチャンバ4012への接続と同様)、搬送チャンバは、それ以上またはそれ以下の接合部分区域と連通することができる。例示的実施形態では、搬送チャンバ5012は、接合部分区域と実質的に直接的に連通するように概略的に示されるが、代替実施形態では、搬送チャンバは、搬送チャンバの直線部分から、水平および垂直の両方で、接合部分区域をオフセットすることのできる介在搬送チャンバの区域を通って、連通することができる(例えば、図31の搬送チャンバ4012に関連する接合部分区域4014Aを参照のこと)。示される実施形態の搬送チャンバは、代表的搬送チャンバモジュール5018P1、5018P2から形成される。搬送チャンバモジュール5018P1、5018P2は、互いに、および、図7および31に示され、さらに前述されるモジュール4018P1、18Pa、18P2と、概して同様であることができる。図32に示される例示的実施形態では、搬送チャンバモジュールは、例示目的で同様の長さを有するように示され、代替実施形態では、搬送チャンバモジュールは、異なる長さおよび形状を有することができる。例えば、さらに前記のように、搬送チャンバモジュール5018P1、5018P2(モジュール18P1、18P2と同様)は、例えば、搬送チャンバの長さに沿って逐次キューされた1つ以上の搬送カート(図32は、例示目的で、搬送チャンバモジュールにおける1つの搬送カート5406を示す)に順応するこが可能である様々な長さであることができる。例示的実施形態で、搬送チャンバモジュール5018P1、5018P2は、前述の例示的実施形態と同様に異なる高さを有することができ、搬送チャンバ5018(またはその一部分5012)は、所望の長さおよび形状の搬送チャンバを形成するために、逐次、搬送チャンバモジュールを連結することにより形成される。理解されることができるように、前述の実施形態と同様に、搬送チャンバの長さ50181(またはその一部分5012)搬送チャンバモジュールを追加または除去することにより、変更することができる。搬送チャンバ区域5012での搬送チャンバモジュールの配置は、例示的であり、代替実施形態では、搬送チャンバモジュールは、搬送チャンバに沿う、任意の他の所望の配置を有することができる。
例示的実施形態では、各搬送チャンバモジュールは、分散搬送システム5400の統合部分を含むことができる(搬送システム5400は、図31に示される前述の搬送システム4400と概して同様である)。図32に示されるように、例示的実施形態では、搬送チャンバモジュール5018P1、5018P2のそれぞれは、モジュールが搬送チャンバを形成するためにアセンブルされる場合に、搬送カート5406のための、搬送チャンバ5012を通る、実質的に連続の搬送経路A、R、R´を形成する、1つ以上の搬送レーンを画定することができる。例示的実施形態では、カート5406は、前述のカート406、4406と同様であることができる。チャンバモジュール内の走行レーン、およびそれにより搬送チャンバ内に形成される経路は、変更することができる。例えば、図32に示される例示的実施形態では、搬送チャンバモジュール5653、5656は、3つの搬送レーンL1、L2、L3、を有することができ、搬送チャンバモジュール5657、5660は、2つのレーンL5、L6を有することができ、モジュール5656A、5656B、5655A、5659Bは、1つのレーンL7を有することができる。(図32の参照番号5653、5656、5657、5656A、5656B、5659A、5659B、5660は、示される例示的実施形態の搬送チャンバの参照フレームに固有であるように、搬送チャンバモジュールを識別し、参照番号5018P1、5018P2は、概して参照される場合に、搬送チャンバモジュールを識別するということを、留意したい。)代替実施形態では、搬送チャンバモジュールは、それ以上またはそれ以下の搬送レーンを有することができる。
前述のように、モジュール搬送レーンL1、L2、L3、L5、L6、L7は、実質的に連続の縦方向の搬送経路A、R、Bを形成するために組み合わさる。例えば、図32に示されるように、レーンL2(モジュール5653、5656において)およびL5(モジュール5652、5660)およびL7(モジュール5656A、5655A)の組み合わせは、経路Aを形成する。同様に、経路R、R´およびBは、搬送チャンバ5012の対応する区域で形成されることができる。代替実施形態では、搬送チャンバの異なる部分は、それ以上またはそれ以下の経路および任意の所望の経路配置を有することができる。例示的実施形態では、側壁(図32の参照番号5716で概して示される)は、図13A〜13Cに示される、チャンバモジュール716の側壁と同様であることができる。側壁5716の巻き線セットは、搬送チャンバの各モジュール内で走行レーンを画定することができる。例示的実施形態では、搬送チャンバモジュールのそれぞれは、例えば、適した「プラグアンドプレイ」タイプの結合(以下にさらに記載される)によって、コントローラCに連通可能に接続されることができる。コントローラCは、前述のように、個別巻き線セット、つまり個々の搬送チャンバモジュールの走行レーンを操作可能に統合するプログラミングを有し、搬送チャンバ5018/5012の所望の部分を通るカート5406のための搬送経路を形成する。前述のように、また以下にさらに記載されるように、コントローラは、搬送経路A、R、R´、Bの一部に沿う走行方向を分極(または明確に画定)することができる。理解され得るように、走行レーンが望ましくない、所定の搬送チャンバモジュールにおいて、望ましくない走行レーンに対応する巻き線セット(例えば、図13Cを参照のこと)は、コントローラによって、電源を切断されることができる。反対には、所望の走行レーンに対応する巻き線セットは、励起され、コントローラによって制御可能に操作されることができ、前述のようにカート5406(またはカートプラテン)を移動する。つまりは、搬送チャンバモジュールの走行レーンは、変更することができる。代替実施形態では、搬送チャンバモジュール走行レーンは、任意の適した様式で形成することができる(例えば、図10、12B、28を参照のこと)。
示される例示的実施形態では、モジュール5653、5657、5659A、5659Bは、処理モジュール/基板保持ステーション(図示せず)と連通するための、閉口可能な側部開口部57800を有することができる。側部開口部57800は、前述の壁部開口部180、18A、18B(図2、13A〜13Cをさらに参照のこと)と概して同様であることができ、任意の所望の手段(例えば、図22〜25を参照して、カート実装アームでの移送、または、処理モジュールの搬送アーム、またはカートから独立する搬送チャンバの搬送アーム、または開口部を通って通過するカートによって等)によって、搬送チャンバと一体化される処理モジュールとの間での基板移送を可能にするように大きさを決められることができる。開口部は、スロットバルブ、または他の適したバルブ/ドアによって、制御可能に開口/閉口されることができ、搬送チャンバモジュールの内部雰囲気の漏洩を避ける。バルブは、「プラグアンドプレイ」結合部5720を介してコントローラに接続され、それにより制御される。図32に示される搬送チャンバモジュールの側部開口部51800の数および配置は、単に例示的であり、代替実施形態では、それ以上またはそれ以下の開口部が提供されることができる。望ましくない開口部は、ブランクで覆われることができる。前述のように、搬送チャンバの側部に沿って配列される処理モジュールと連通するための開口部51800、51800´、51800´´は、搬送レーンに対して配置されることができ、カート5406から/への基板の移送を可能にする。例えば、モジュール5653での移送開口部51800´、51800´´は、レーンL2、L3に対してそれぞれ配置されることができ、モジュール5657の開口部51800は、搬送レーンL5に対して配置されることができる。例示的実施形態では、搬送チャンバの基板移送開口部は、所望の搬送レーン、つまりは搬送チャンバの搬送経路に対応するように考慮されることができる。例えば、開口部51800、51800´は、経路Aに、および経路Rへの開口部5180´´に対応することができる(経路Bは、バイパス経路であることができる)。従って、理解されることができるように、装置の処理モジュールは、所望の経路に沿って、(コントローラによって)確立される所望のプロセスプロトコルによって、搬送チャンバの所望の移送開口部51800´、51800´´、51800に接続されることができる。反対には、チャンバの搬送経路の搬送方向は、処理モジュール配置に基づいてコントローラによって画定されることができる。代替実施形態では、これのいくつかの組み合わせを使用することができる。例示的実施形態では、経路Aは、画定された方向、(例えば)接合部分区域5014Aから離れる方向(接合部分区域5014Aは、搬送経路方向に対して参照点として、説明の簡易目的のみに、使用され、任意の適した参照位置を使用することができる)を有することができ、経路Rは、区域5014Aに向かう画定された方向を有することができ、経路R´は、区域5014Aから離れて分極されることができる。例示的実施形態の経路Bは、前述のようなバイパス経路であり、双方向性であるように示されるが、代替実施形態では、バイパス経路は、特定の方向に分極されることができる。図32に示される例示的実施形態では、垂直経路Vの相互接続は、搬送チャンバ(例えば、図13Cを参照のこと)で提供されることができ、搬送カート5406が、縦方向の経路A、R、R´の間で切り替わることを可能にする。理解されることができるように、コントローラは、異なる搬送経路に沿う搬送を介して、例えば、接合部分ステーション5014Aで積まれる基板に、異なるプロセスプロトコルを、適用することができる。特定のロットに対して必要に応じて、1つ以上の搬送経路方向が、さらに他のプロセスプロトコルを確立するために変更されることができる。
図32に示される例示的実施形態では、搬送チャンバモジュール5653、5657、5659A、5659Bは、互いに異なる内部雰囲気(ガス種/圧力)を有することができる。例示的実施形態では、モジュール5656、5656A、5656Bは、カートが、異なる雰囲気を伴うチャンバモジュール間を通過することを可能にする、ロードロックチャンバであることができる(図31のバルブ4654と同様の隔離バルブ5654を介して)。例えば、ロードロックは、ロードロックの雰囲気を抜くために、Helix Technology Corp.から入手可能であるもの等の、真空または粗ポンプ5656、5030Vを提供されることができる。隔離バルブ5654が閉口される場合、それにより、ロードロック5656を隣接チャンバモジュール(例えば、チャンバモジュール5653、5657)から隔離し、ロードロック内の雰囲気は、モジュールから独立して、ポンプダウンされることができる。モジュールとロードロック5656、5656A、5656Bとの間の制御可能な通気線(図示せず)は、モジュールとロードロックとの間の制御された通気を可能にすることができる。例示的実施形態では、真空ポンプ5030Vは、1つ以上の搬送チャンバモジュール5653、5657、5656A、5656Bおよびロードロック5656の雰囲気を同時に抜くために、さらに使用されることができる。例えば、隔離バルブ5654は、開口することができ、ポンプ5030Vは、ロードロック5656を介して所望の搬送チャンバモジュールで真空に引くことができる。従って、例示的実施形態では、可変である大きさまたは容量のロードロックが形成される。代替実施形態では、任意の他の所望の搬送チャンバモジュールは、基板移送開口部(隔離バルブ開口部51800と同様)を伴うものを含む、任意の搬送チャンバモジュールがロードロックとして動作することができるように、真空ポンプを提供されることができる。前述のように、ロードロックモジュール5656、5656A、5656Bの1つ以上(代替実施形態では、図7Aおよび31の例示的実施形態を参照してさらに前述であるような、搬送チャンバモジュールのいずれかの1つ以上)は、基板アライメント、計測、基板清浄または基板熱的調整等の処理、基板バッファリング、または搬送チャンバ5018で基板に適用されることのできる任意の他の所望の特徴等の、1つ以上の追加の特徴(図7Aおよび31を参照して、モジュール658、4658と同様)を組み込むことができる。例示的実施形態では、ロードロックモジュール5656は、大気温度と所望の温度(例えば、1つ以上の処理モジュールの動作温度等)との間で、ロードロックでカート5406によって保持される基板等の基板の温度を上昇または下降させるための熱的処理能力を提供されることができる。
前述のように、例示的実施形態の搬送チャンバモジュールでは、モジュールが搬送チャンバおよびコントローラCに接続される場合に、例えば結合部5120を介して、コントローラCが特定の搬送チャンバモジュール、およびモジュール(例えば、搬送チャンバモジュールに接続される処理モジュールを含む)の所望のパラメータを自動的に認識することを可能にする、「プラグアンドプレイ」能力を提供されることができる。例えば、搬送チャンバモジュールの結合部5120は、統合プログラミングを提供される、コントローラCと連通するための、適した接合部分を有することができ、各接合部分のコントローラへの接続についての「プラグアンドプレイ」能力を、自動的に提供する。例えば、結合部および接合部分は構成されてもよく、USBはコネクタを移植する。双方向でコントローラと連通する、例えば、適したポートとの結合部5120の一体化は、モジュール構造を自動的に識別するコントローラCへの接合をもたらし、例えば、モジュール5653は、搬送チャンバモジュールであり、(M)走行レーン、走行レーンを画定する巻き線セット、駆動区域モータのためのコントロールパラメータ、ならびに、コントロール計装、モジュール5653に常駐する任意の他の制御可能なシステムのための識別およびコントロールパラメータ、所望の参照フレームに対するモジュール(例えば、搬送チャンバのM番目のモジュール)の配置を伴う。結合部5120の接合部分との一体化に際してコントローラCによって自動的にダウンロードされる情報は、コントローラに、システム情報およびコントローラCによって制御されるモジュールの全ての制御可能なシステムのためのコントロールパラメータを提供し、コントローラが、実質的に即座な結合部の接続についてモジュールの制御可能なシステムに連通し操作することを可能にする。情報は、コントローラCへ、運動学的方程式および搬送運動を制御するコマンドを確立するための特定の搬送チャンバモジュール5653、5656、5657を組み込む、搬送チャンバ5012の搬送「空間」を画定する幾何学的パラメータをさらに提供することができる。例えばダウンロードされた情報は、コントローラが、基板移送開口部(図32参照のこと)および隔離バルブ5654、5576の位置、チャンバ境界線、基板の回収、取り付け配置の中心等、の様々な特徴の空間的座標(X、Y、Z)を確立することを可能にすることができる。理解されることができるように、結合部5120の接合モジュール/コントローラにプログラムされた情報は、コントローラが、コントロール情報がプログラムされているコントローラのメモリの位置(図示せず)から情報をルックアップ/リードすることを可能にするのに十分である、一部分であるか、または識別子であることができる。一例として、コントローラは、基板移送開口部、搬送チャンバモジュールのためのモジュールチャンバ壁の位置等の運動学的関連特徴に対するX、Y、Z座標を確立するルックアップテーブルまたはアルゴリズムをプログラムされることができる。結合する際、所定のモジュールの接合部分は、例えば、コントローラによってすでに登録され、(M)モジュールが、搬送チャンバの他のモジュールへ結合されているということを、コントローラに表示することができ、コントローラに(例えば、ルックアップテーブル/アルゴリズムを介して)モジュールの所望の特徴にアクセスさせる。
代替実施形態では、モジュール接合部分は、結合に際してコントローラによってダウンロードされる任意の他の所望の情報でプログラムされることができる。(M)搬送チャンバモジュールが結合されていることを登録すると、コントローラCは、さらに、プログラミングに対応するオペレータへ自動的にアクセスするか、または自動的に利用できるようにし、それぞれの操作可能なシステム/コンポーネントおよび様々なシステムのクエリ状況(例えば、スロットバルブ開口/閉鎖、搬送エンコーダ配置等)を初期化する。同様に、コントローラCは、適した試験プロトコルを自動的にルックアップし、初期化することができ、追加されたモジュールのシステム(ハードウェア、ソフトウェア)が通常に動作することを検証し、必要に応じて、それらを「ゼロ」配置に戻すためのモジュールシステムを作動させる。さらには、コントローラは、ディスプレイ特徴(図示せず)、例えば、オペレータへ、モジュールの追加、搬送チャンバおよびツールの現在の構造、さらにはオペレータコマンドのエントリを可能にするコマンドプロトコル等を有効にすることができ、所望のユーザーインターファセットを介して、追加されたモジュールでシステムを操作し、またはツールによって実行される加工材料プロセスプロトコルを修正し、追加されたモジュールからの新たに利用可能な特徴を組み込む。例えば、搬送チャンバモジュールの結合を登録すると、コントローラは、モジュールおよび他のモジュール対しての搬送チャンバでのその相対配置、さらにはモジュールシステムの存在および状況を、概略的に表す、ディスプレイ(図示せず)で、特徴を追加または有効にすることができる。さらに、試験プログラム、またはモジュールシステムためのティーチングプログラム(例えば、アーム26Bに対する細かいティーチングプログラミング)を初期化するための、「ソフトキー」等のユーザー選択的な特徴が有効にされることができる。理解されることができるように、任意の所望のユーザーインターフェースアーキテクチャが、用いられることができ、代替実施形態では、それ以上またはそれ以下の特徴が、結合時にコントローラによって有効になる。ダウンロードされた情報は、コントローラMESシステムソフトウェア(図26を参照のこと)によって使用されることができ、システム全体の効率を最大限にするために、工場資源および処理プランを構造または再構造する。
図32をさらに参照すると、基板は、任意の接合部分区域5014A、5014Cから搬送チャンバ5012へ積まれることができる。例示的実施形態では、接合部分区域5014A、5014Cのそれぞれは、基板が接合部分区域と搬送チャンバとの間で移送される(積まれる/降ろされる)、多数の基板移送平面T1〜T5を有することができる。示される例示的実施形態では、移送平面T1〜T5は、垂直にオフセットであることができる。理解されることができるように、接合部分区域5014A、5014Cは、所望の移送平面へ、およびそこから、基板をインデックスするために、適したインデクサー(図示せず)を有することができる。1つ以上の移送平面は、搬送チャンバ5012の搬送経路A、R、R´の1つ以上と実質的に揃えられてもよい。代替実施形態では、接合部分区域と搬送チャンバとの間で基板を積み/降ろしするための移送経路は、水平にオフセットであることができる(例えば、水平に配列される)。例示的プロセスプロトコルは、例えば移送平面T1に沿って(代替実施形態では、任意の移送平面を使用することができる)接合部分区域5014Aから、搬送チャンバへ基板を移送することができる。理解されることができるように、1つ以上の基板は、所定の移送平面に沿って、同時に移送される(例えば、垂直に積み重ねられる)ことができる。基板移送は、例えば、搬送チャンバモジュール5653と接合部分区域5014Aとの間に位置するロードロック(図示せず)を介して行うことができる。代替実施形態では、接合部分区域は、ロードロック能力を有することができ、または真空または搬送チャンバモジュール5653の内部雰囲気に適合する他の所望のガス種/圧力を保持することができる。例示的実施形態では、基板は、搬送経路A上のカート5406(例えば、カート上でのロボットアームからの回収を伴う)へ移送されることができる(代替実施形態では、カートは、任意の所望の搬送経路上にあることができる)。例示的実施形態では、カートは、別の搬送経路(例えば、経路Rまたはバイパス経路B)上で接合部分区域5014Aから基板を受け取るために、配置する前にキューされることができる。前述のように、カートは、搬送経路A、R、Bの間を、相互接続Vによって、移送させられることができる。例示的実施形態では、カートは、所望のプロセスプロトコルに従ってコントローラによって、前述のように分極される方向(例えば、接合部分区域5014Aから離れる)を有する経路A上で基板を移動することができる。例えば、プロセスプロトコルに従って、基板は、移送開口部51800´に接続される処理モジュールで処理されることができる。さらなる処理が望まれる場合、基板は、ロードロック5656を介して、移送開口部51800を介してアクセスされる処理モジュールで、処理のためのチャンバモジュール5657へ移動される。基板の処理は、基板が経路Aに沿って搬送される際と同様に連続される。基板は、例えば、ロードロック5656で、所望の温度まで、熱的に調整(加熱/冷却)されることができ、搬送チャンバモジュールの能力に従って、搬送チャンバ内で、アライメントまたは計測試験、または他の所望の処理を受けることができる。接合部分区域5014Cで基板を降ろすことが望ましい場合、基板は、接合部分区域5014Cへの所望の移送平面T4に沿う移送のために、チャンバモジュール5660への経路に続くことができる。経路R、R´に沿って提供されるプロトコルに従って基板の処理が望ましい場合、カートは、経路Aから経路R、R´へ、その中で基板を処理するために、これらの経路に沿って移動することができる。バイパス経路Bは、搬送経路A、Rのブロックされた部分および「ジャンプオーバー」処理ステップまたは他の経路に沿う介在するトラフィックをバイパスするために、例示的実施形態で使用することができる。例示的実施形態では、バイパス経路Bは、接合部分区域から基板を進めるか、または接合部分区域へ基板を戻すあるいは持っていく場合のいずれかのために使用することができる。コントローラは、ある時間中、所定の搬送経路に沿う、存在し予測されるトラフィック条件によって、経路A、R、R´、バイパス経路Bの1つ以上を変更可能に指定する。
図32に示される例示的実施形態では、装置は、補助搬送通路5570を有する。例示的実施形態の補助搬送通路5570は、前述の、図31に示される補助搬送通路4570と同様であることができる。同様の特徴は、同様の番号を付けられる。図32に示される例示的実施形態では、補助搬送通路は、管を通過することが可能である1つ以上のシャトル5571を伴う、通路管5572を有することができる。例示的実施形態での管5572は、適した相互接続5574、5574´(図31を参照して、相互接続管4574と同様)によって、搬送チャンバ上で、接合部分区域5014A、5014Cに接続されることができる。代替実施形態では、通路管は、搬送チャンバでそれ以上またはそれ以下の接合部分区域に接続されることができる。通路管5572は、さらに、相互接続5576、5578(図31を参照して、相互接続4576、4578と同様)を介して、搬送チャンバモジュール5656および5660に連通することができる。代替実施形態では、通路管は、それ以上またはそれ以下の搬送チャンバモジュールまたは搬送チャンバ位置に接続されることができる。前述のように、例示的実施形態では、チャンバモジュール5656は、ロードロックであることができる。例示的実施形態のチャンバモジュール5660は、さらに、ロードロックであることができる。隔離バルブ(例えば、それはチャンバモジュール5656に統合されることができる)は、通路管からロードロックを隔離し、例示的実施形態では、通路管5572は、搬送チャンバモジュールの1つ以上と異なる雰囲気(例えば、制御空気等)を有することができる。例示的実施形態では、通路管5572は、管の長さが可変であり、所望の長さに簡単に一致することを可能にする、モジュラーであることができる。代替実施形態では、搬送チャンバモジュールは、搬送チャンバを形成するためのモジュールアセンブリが通路管の形成をもたらすように、統合管区域を有することができる。図32から理解されることができるように、例示的実施形態では、基板は、接合部分区域5014A、5014Cから離れて搬送チャンバ5012の中間および/または遠位区域またはモジュールへ、およびその逆で、通路管5572でシャトル5571によって伝達されることができる。つまり、基板は、装置の外側から基板キャリアを受け取る接合部分区域5014Aから距離を置いて、または遠位の位置で、処理の流れへ入れられることができる。シャトル(例えば、ホイスト)または搬送チャンバまたは任意の他の適した場所で提供され得る適したインデックス機構(図示せず)は、カートが基板を回収/配置することができる搬送チャンバで、シャトルとステーション(図示せず)との間で、基板をインデックスすることができる。代替実施形態では、インデクサーは、シャトルからカートへおよびカートからシャトルへの直接的な基板の移送が可能である。通路管5572への隔離バルブは、開くことができ、基板の搬送チャンバと通路管との間で移送を可能にし、搬送チャンバの他の部分への隔離バルブ5654は閉口することができる。例示的実施形態では、ロードロック5656へ送達される伝達基板は、前述のようなロードロックの操作温度まで加熱することができる。ロードロックより、基板は、所望のプロトコルによって、処理することができる。処理された基板は、遠位の位置から所望の接合部分区域5014A、5014Cへ、同様であるが反対の様式で、伝達されることができる。一例として、チャンバモジュール5659B(図32参照のこと)に位置する処理された基板は、ロードロック5660へ搬送され、通路管5572のシャトル5571へ隔離バルブを通ってインデックスされ、接合部分区域5014Aへ伝達されることができる。代替実施形態では、基板は、任意の他の所望の様式で装置によって、搬送され処理されることができる。
図33を参照すると、別の例示的実施形態による処理装置6010の別の代表部分の略立面図が示される。図33に示される装置6010の代表部分は、および図31、32にそれぞれ示される、処理装置4010、および装置5010の代表部分と同様であることができる。同様の特徴は、同様に番号付けられる。図33に示される例示的実施形態では、装置は、搬送チャンバ6018を有し、その区域6012は、接合部分区域6014A、6014C、6014C´に接続される。図32に示される構造は、単に例示に過ぎず、代替実施形態では、任意の他の適した構造を用いることができる。例えば、接合部分区域の1つ以上は、搬送チャンバに平行するまたはインラインである、搬送チャンバに沿う中間部分で位置することができる。接合部分区域6014A、6014C、6014C´は、前述の接合部分区域と同様であることができる。示される例示的実施形態では、接合部分区域6014C、6014C´は、垂直に積み重ねられることができ、適したパーティションによって互いに引き離されることができる。接合部分区域6014C、6014C´のそれぞれは、他から隔離される雰囲気を保持することができる。例えば、接合部分区域6014C´は、真空または所望のガス種を保持することができ、接合部分区域6014Cは、環境接合モジュールであることができる。搬送チャンバ6012は、モジュール6018P、5018P2(図32参照のこと)と同様の搬送チャンバモジュールから形成することができる。例示的実施形態では、モジュール6572は、伝達またはバイパス通路6570(図31および32に示される補助搬送通路4570、5570と同種)を画定するために再配置される。図33に示される例示的実施形態では、伝達経路6570は、搬送チャンバに統合されることができるが、代替実施形態では、伝達経路と搬送チャンバの他の対応する部分との間に、ある程度の隔離を提供することができる。前述のように、搬送チャンバの伝達経路を形成するモジュール6572は、他の搬送チャンバモジュール6653、6656、6657と同様であることができる。つまりは、伝達経路モジュール6572は、例示的実施形態では、搬送カート6406によって使用され得る伝達経路を通って、搬送経路BA、BRを形成する統合搬送レーンを有することができる。搬送チャンバ6012と統合するが、例示的実施形態では、通路6570は、適した手段(例えば、床/壁/天井)によって、搬送チャンバの隣接モジュールからパーティションされることができる。従って、伝達経路は、搬送チャンバの他のモジュールで維持される雰囲気と異なる雰囲気(例えば、濾過空気、不活性ガス、真空等)を維持することができる。例示的実施形態では、伝達経路モジュールは、例示目的で、側壁に移送開口部を伴わずに、示される。代替実施形態では、伝達経路モジュールは、基板移送のための、閉口された、または閉口可能な手段である開口部(例えば、図33に示される開口部61800と同様)を提供されることができる。例示的実施形態では、コントローラは、装置6010の時間的状況条件に従って画定することができる、1つ以上のバイパス/伝達経路へ、モジュールアーキテクチャによって、搬送チャンバで形成される適した管区域の1つ以上を画定することができる。一例として、コントローラは、そのプログラミングにより、装置の状況および予想される操作を概観し、基板処理に使用されていない(ある所望の時間)搬送チャンバの通路6572と同様である管区域を識別する。通路は、通路が伝達経路として効果的に操作されることを可能にするために、所望の接合部分区域および所望の搬送チャンバモジュールに接続されることができる。従って、コントローラは、伝達経路として識別された管区域を確立することができ、通路管5572(図32を参照のこと)と同様である様式で、それを操作することができる。選択は、必要に応じて、リアルタイムでコントローラによってなされ、例えば、時間的な「ホットロット」のために使用することができる。選択される伝達経路は、つまりは、異なる時間で、異なるロットに対して、異なるものであることができる。図33に示される伝達経路6578の位置は、例示的であり、理解されることができるように、代替実施形態では、伝達経路は、搬送チャンバモジュールタックのあらゆる場所に位置することができる。伝達経路は、図33に示されるように、搬送チャンバモジュール間で挟まれることができる。他の代替実施形態では、伝達経路は、1つ以上の搬送チャンバ区域に沿って水平に延在することができ、または、かかる区域間で水平に挟まれることができる。例示的実施形態では、伝達経路は、適した隔離バルブ6576を介して、他のモジュール(例えば、ロードロック6656、6660)に連通することができる。バルブ6576は、カート6406が、通路と搬送チャンバの他の部分との間を通って移動することを可能にするように大きさを決定することができる。代替実施形態では、ロードロックモジュールは、伝達経路に位置することができる。例示的実施形態では、インデキシングシステム(例えば、機械的インデクサー6700)は、ロードロック6656と伝達経路の間でカートを移送するように配置することができる。搬送チャンバの他のモジュール6572、6660は、伝達経路への出入りを直接的に動作させるための、図33に示されるような、相互接続経路Iを画定するために、適した駆動モータを有することができる。基板処理は、前述と同様の様式で実行することができる。
先述の説明は、本発明の実例に過ぎないことが理解されるべきである。様々な代替および修正が、本発明から逸脱することなく、当業者によって、考案され得る。従って、本発明は、添付の特許請求の範囲の範囲に含まれる、かかる代替、修正および変更の全てを包括することを意図する。

Claims (19)

  1. 基板処理装置であって、
    搬送チャンバであって、外部雰囲気から隔離される隔離雰囲気を保持することが可能であり、前記搬送チャンバの向かい合う壁の間で前記搬送チャンバに沿って縦方向に延在する2つ以上の実質的に直線状の搬送経路を画定する、搬送チャンバと、
    前記搬送チャンバに沿う略直線状の配列の基板保持モジュールであって、それぞれが、前記チャンバに連通可能に接続され、搬送チャンバと保持モジュール間の基板の通過を可能にする、基板保持モジュールと、
    前記2つ以上の実質的に直線状の搬送経路に沿って前記基板を搬送するための、前記搬送チャンバに位置し、移動可能に実装される基板搬送部であって、前記基板搬送部は、前記基板の保持および移動が可能である、少なくとも1つの搬送装置を有し、前記少なくとも1つの搬送装置は、前記2つ以上の実質的に直線状の搬送経路の少なくとも1つに沿って移動するために、前記搬送チャンバの壁に平行移動可能に接合する、基板搬送部と、を含み、
    前記搬送チャンバは、前記搬送チャンバの向かい合う端部で、他の基板保持モジュールと一体となるための接合部分を有し、各接合部分は、前記2つ以上の直線搬送経路の少なくとも1つが通って延在する開口部を有し、前記搬送チャンバは、接合部分の間に選択的に可変の縦方向の長さを有する基板処理装置。
  2. 前記選択的に可変の縦方向の長さは、前記搬送チャンバの縦方向の長さが、第1の既定の長さと第2の既定の長さとの間で、選択的に変更されることを可能にする請求項1に記載の装置。
  3. 前記2つ以上の直線搬送経路のそれぞれの長さは、選択的に可変であり、経路の長さの可変性は、前記搬送チャンバの縦方向の長さの変動で、設定される請求項1に記載の装置。
  4. 前記2つ以上の搬送経路は、互いから横方向にオフセットされる請求項1に記載の装置。
  5. 前記2つ以上の搬送経路は、前記接合部分間で前記搬送チャンバを通って、実質的に連続して延在する請求項4に記載の装置。
  6. 前記2つ以上の搬送経路の前記少なくとも1つは、前記搬送チャンバ内で、前記2つ以上の搬送経路が通って延在する前記搬送チャンバの別の部分から隔離されるチャンバ雰囲気を保持することが可能である、前記搬送チャンバの1部分に位置する請求項1に記載の装置。
  7. 前記搬送チャンバの前記部分および前記もう一方の部分は、前記2つ以上の搬送経路に沿って縦方向に配置される請求項6に記載の装置。
  8. 前記搬送チャンバの前記部分および前記もう一方の部分は、互いから横方向にオフセットされる請求項6に記載の装置。
  9. 前記搬送装置は、前記搬送チャンバに沿って配列される前記基板保持モジュールの1つから、前記搬送チャンバに沿って配列される前記基板保持モジュールの別のモジュールへ、前記基板に最大1度しか触れることなく、前記基板を搬送することが可能である、ワンタッチ搬送装置である請求項1に記載の装置。
  10. 基板処理装置であって、
    前記搬送チャンバの向かい合う壁の間で、前記搬送チャンバに沿って縦方向に延在する、2つ以上の実質的に直線状の搬送経路を画定する搬送チャンバと、
    前記搬送チャンバに沿う略直線状の配列の基板保持モジュールであって、それぞれが、前記チャンバに連通可能に接続され、搬送チャンバと保持モジュールとの間の基板の通過を可能にする、基板保持モジュールと、
    前記2つ以上の実質的に直線状の搬送経路に沿って、前記基板を搬送するための、前記搬送チャンバ内に位置し、移動可能に実装される基板搬送部であって、前記基板搬送部は、前記2つ以上の実質的に直線状の搬送経路の少なくとも1つの上で、基板を保持し移動することが可能である、少なくとも1つの搬送装置を有する、基板搬送部と、を含み、前記搬送チャンバは、異なる搬送管を含み、前記異なる搬送管のそれぞれは、その中に位置する前記搬送経路の少なくとも1つを有し、そのそれぞれは、前記搬送管の別の1つの中に位置する前記搬送経路の別の1つとは異なり、第1の位置で連通可能に互いに接続され、基板が異なる搬送管の異なる搬送経路の間で移送されることを可能にし、各搬送管は、各搬送管に共通し前記第1の位置から遠位である別の位置に縦方向に延在し、前記搬送管の少なくとも1つは、隔離雰囲気を保持することが可能である基板処理装置。
  11. 前記搬送チャンバに接続される接合部分区域をさらに含み、前記接合部分区域は前記装置から基板を積み降ろしするように適合され、前記搬送管は、それぞれ、その他の場所で、前記接合部分区域に連通可能に接続され、各搬送管から前記接合部分区域に基板を移送することを可能にする請求項10に記載の装置。
  12. 前記搬送管の少なくとも2つは、互いに実質的に並行である請求項10に記載の装置。
  13. 前記搬送管の少なくとも1つは、選択的に可変である縦方向の長さを有する請求項10に記載の装置。
  14. 前記2つ以上の直線搬送経路の少なくとも1つの長さは、選択的に可変であり、経路の長さの可変性は、前記搬送管の対応する1つの縦方向の長さの変動で設定される請求項10に記載の装置。
  15. 前記搬送管のそれぞれは、互いから分離される請求項10に記載の装置。
  16. 前記搬送管の少なくとも1つは、別の前記搬送管の別の内部雰囲気から隔離され、それと異なる内部雰囲気を保持することが可能である請求項10に記載の装置。
  17. 前記搬送管の少なくとも1つは、管部を有し、その中に前記2つ以上の搬送経路の少なくとも1つが位置し、前記少なくとも1つの搬送管の別の管部の別の雰囲気から隔離される第1の隔離雰囲気を保持することが可能であり、前記少なくとも1つの搬送経路は、他方の管部を通って延在する請求項10に記載の装置。
  18. 前記搬送管の少なくとも1つは、その中にロードロックを有する請求項10に記載の装置。
  19. 前記ロードロックは、前記ロードロックを通じて、前記2つ以上の搬送経路の1つに沿って搬送される基板の熱的調整が可能である請求項18に記載の装置。
JP2009513190A 2006-05-26 2007-05-24 基板処理装置 Active JP5492553B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/442,509 2006-05-26
US11/442,509 US7959395B2 (en) 2002-07-22 2006-05-26 Substrate processing apparatus
PCT/US2007/012407 WO2007139896A2 (en) 2006-05-26 2007-05-24 Substrate processing apparatus

Publications (2)

Publication Number Publication Date
JP2009538540A true JP2009538540A (ja) 2009-11-05
JP5492553B2 JP5492553B2 (ja) 2014-05-14

Family

ID=38779221

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513190A Active JP5492553B2 (ja) 2006-05-26 2007-05-24 基板処理装置

Country Status (5)

Country Link
US (3) US7959395B2 (ja)
JP (1) JP5492553B2 (ja)
KR (1) KR101415708B1 (ja)
TW (1) TWI486999B (ja)
WO (1) WO2007139896A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013176025A1 (ja) * 2012-05-22 2013-11-28 東京エレクトロン株式会社 搬送装置
JP2015089962A (ja) * 2013-11-07 2015-05-11 昭和電工株式会社 インライン式成膜装置及びそれを用いた磁気記録媒体の製造方法
KR101789375B1 (ko) 2014-06-17 2017-10-23 도요타지도샤가부시키가이샤 반도체 장치의 제조 라인 및 반도체 장치의 제조 방법
KR20190059738A (ko) * 2017-11-23 2019-05-31 박인태 정전척을 이용한 기판 처리 시스템
KR20190100148A (ko) * 2017-11-23 2019-08-28 박인태 기판 처리 장치 및 방법
WO2021131253A1 (ja) * 2019-12-25 2021-07-01 株式会社Sumco 気相成長装置

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8313277B2 (en) * 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7925380B2 (en) * 2006-07-19 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated transportation control for wafer fabrication facility
US20080219807A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080260499A1 (en) * 2007-04-16 2008-10-23 Van Der Meulen Peter Facet adapter for a wafer handler
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US8367565B2 (en) 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US8740538B2 (en) 2009-04-10 2014-06-03 Symbotic, LLC Storage and retrieval system
WO2010126089A1 (ja) 2009-04-28 2010-11-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
US9837294B2 (en) * 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
US20130079913A1 (en) * 2011-09-28 2013-03-28 Globalfoundries Inc. Methods and systems for semiconductor fabrication with local processing management
US9862554B2 (en) 2011-10-26 2018-01-09 Brooks Automation, Inc. Semiconductor wafer handling and transport
US9558978B2 (en) * 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US9081306B2 (en) * 2012-09-19 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of optimizing lithography tools utilization
JP6105982B2 (ja) * 2012-09-21 2017-03-29 株式会社Screenホールディングス スケジュール作成装置、基板処理装置、スケジュール作成プログラム、スケジュール作成方法、および基板処理方法
TWI642028B (zh) 2013-03-15 2018-11-21 辛波提克有限責任公司 具有整合式受保護的人員接觸區及遠端漫遊機關機之運送系統及自動化儲存和取放系統
KR102265424B1 (ko) 2013-03-15 2021-06-15 심보틱 엘엘씨 통합 보안 직원 액세스 구역 및 원격 로버 조업 중지를 구비한 자율화된 저장 및 인출 시스템
TWI594933B (zh) 2013-03-15 2017-08-11 辛波提克有限責任公司 自動化貯藏及取放系統
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
WO2015038999A2 (en) 2013-09-13 2015-03-19 Symbotic Llc Automated storage and retrieval system
CN105814677B (zh) * 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
US11587813B2 (en) 2013-12-17 2023-02-21 Brooks Automation Us, Llc Substrate transport apparatus
KR102192244B1 (ko) * 2013-12-30 2020-12-17 삼성디스플레이 주식회사 기판 이송장치
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9747092B2 (en) * 2015-05-13 2017-08-29 Asm Ip Holding B.V. Substrate processing system and method of installing PLC software
WO2017011581A1 (en) 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
CN108475654B (zh) * 2016-01-18 2022-06-07 应用材料公司 用于在真空腔室中传送基板载体的设备、用于真空处理基板的系统、及用于在真空腔室中传送基板载体的方法
US10558201B2 (en) * 2016-09-09 2020-02-11 The Procter & Gamble Company System and method for producing products based upon demand
JP6846943B2 (ja) * 2017-02-10 2021-03-24 東京エレクトロン株式会社 塗布装置、および塗布方法
US10832917B2 (en) * 2017-06-09 2020-11-10 International Business Machines Corporation Low oxygen cleaning for CMP equipment
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
WO2019018698A1 (en) * 2017-07-19 2019-01-24 Intevac, Inc. SYSTEM FOR FORMING A NANO-LAMINATED OPTICAL COATING
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
WO2019161169A1 (en) * 2018-02-15 2019-08-22 Lam Research Corporation Moving substrate transfer chamber
TW202401627A (zh) 2018-03-16 2024-01-01 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
US20200393477A1 (en) * 2018-05-24 2020-12-17 Zymergen Inc. Scalable, mobile, and reconfigurable modules for processing biological and chemical materials
US11437258B2 (en) * 2018-08-30 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Workpiece storage system, method of storing workpiece, and method of transferring workpiece using the same
KR102531483B1 (ko) * 2018-10-04 2023-05-10 어플라이드 머티어리얼스, 인코포레이티드 이송 시스템
US11414748B2 (en) * 2019-09-25 2022-08-16 Intevac, Inc. System with dual-motion substrate carriers
US11694913B2 (en) 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
CN113748499A (zh) * 2019-03-01 2021-12-03 朗姆研究公司 集成式工具升降机
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
JP2022155047A (ja) * 2021-03-30 2022-10-13 東京エレクトロン株式会社 基板を搬送する装置、基板を処理するシステム及び基板の搬送を行う方法
US20230085667A1 (en) * 2021-09-22 2023-03-23 Applied Materials, Inc. Substrate transfer systems and methods of use thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282669A (ja) * 2002-03-26 2003-10-03 Hitachi High-Technologies Corp 基板の搬送方法及びその装置
JP2004273842A (ja) * 2003-03-10 2004-09-30 Seiko Epson Corp 製造対象物の製造装置および製造対象物の製造方法
JP2005228917A (ja) * 2004-02-13 2005-08-25 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2005534176A (ja) * 2002-07-22 2005-11-10 ブルックス オートメーション インコーポレイテッド 基板処理装置

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3407749A (en) * 1966-08-31 1968-10-29 Gen Motors Corp Motor for propulsion and load support
US3470828A (en) * 1967-11-21 1969-10-07 James R Powell Jr Electromagnetic inductive suspension and stabilization system for a ground vehicle
FR2098210B1 (ja) * 1970-07-07 1973-06-29 Japan National Railway
DE2100839A1 (de) 1971-01-09 1972-07-20 Baermann, Max, 5060 Bensberg Durch magnetische Kräfte entlang einer Tragbahn geführtes und im Schwebezustand gehaltenes Fahrzeug
DE2160666B2 (de) * 1971-12-07 1973-09-27 Siemens Ag, 1000 Berlin U. 8000 Muenchen Elektrodynamisches Magnetsystem fur die Schwebeführung eines bewegten Fahr Zeugs
DE2220735A1 (de) 1972-01-20 1973-11-08 Krauss Maffei Ag Anordnung zum beruehrungsfreien magnetischen tragen eines schwebefahrzeugs im bereich einer fahrbahnverzweigung
US3854412A (en) 1972-08-25 1974-12-17 Siemens Ag Switch for use in a magnetic suspension railroad
US3937148A (en) * 1973-01-02 1976-02-10 Cambridge Thermionic Corporation Virtually zero power linear magnetic bearing
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4042128A (en) * 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4348139A (en) * 1980-04-30 1982-09-07 International Business Machines Corp. Gas film wafer transportation system
US4307668A (en) * 1980-05-19 1981-12-29 Vinson Roy D Transportation system unitizing permanent magnets for levitation of a vehicle
US4518078A (en) 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
JPS5950538A (ja) 1982-09-17 1984-03-23 Hitachi Ltd ウエハ搬送装置
JPS605509A (ja) * 1983-06-24 1985-01-12 Hitachi Ltd 分子線エピタキシ装置
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS6162739A (ja) 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4624617A (en) 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (ja) 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
JP2609588B2 (ja) 1986-05-14 1997-05-14 株式会社東芝 浮上式搬送装置
JPH054717Y2 (ja) 1986-05-30 1993-02-05
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US4717461A (en) * 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
JPH0542236Y2 (ja) 1986-10-09 1993-10-25
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4779538A (en) * 1986-12-26 1988-10-25 Shunsuke Fujiwara Levitation-propulsion mechanism for inductive repulsion type magnetically levitated railway
US5040484A (en) 1987-05-04 1991-08-20 Varian Associates, Inc. Apparatus for retaining wafers
US4805761A (en) 1987-07-14 1989-02-21 Totsch John W Magnetic conveyor system for transporting wafers
DE3735284A1 (de) * 1987-10-17 1989-04-27 Leybold Ag Vorrichtung nach dem karussell-prinzip zum beschichten von substraten
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4913059A (en) 1988-02-25 1990-04-03 Railway Technical Research Institute Levitation, propulsion and guidance mechanism for inductive repulsion-type magnetically levitated railway
JP2761881B2 (ja) * 1988-03-10 1998-06-04 チッソ株式会社 抗体を固定化したアフイニテイクロマトグラフイ用担体
US4794863A (en) 1988-03-21 1989-01-03 International Business Machines Corporation Motive structure for transporting workpieces
IT1216642B (it) * 1988-03-29 1990-03-08 Mariani Enrico Sistema per spostare un'anta di un mobile da una posizione aperta ad una chiusa.
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0419081A (ja) * 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
JPH04350023A (ja) * 1990-08-08 1992-12-04 Mitsubishi Heavy Ind Ltd 搬送装置及び搬送用パレット
US5180048A (en) 1990-10-12 1993-01-19 Mitsubishi Jukogyo Kabushiki Kaisha Magnetic levitating transportation system
US5399531A (en) * 1990-12-17 1995-03-21 United Micrpelectronics Corporation Single semiconductor wafer transfer method and plural processing station manufacturing system
JP2858275B2 (ja) 1990-12-28 1999-02-17 セイコー精機株式会社 搬送装置
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5994798A (en) * 1998-02-26 1999-11-30 Anorad Corporation Closed-path linear motor
JPH04286537A (ja) * 1991-03-18 1992-10-12 Seiko Seiki Co Ltd 搬送装置
US5154730A (en) * 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
EP0529157A1 (en) 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5282424A (en) * 1991-11-18 1994-02-01 Neill Gerard K O High speed transport system
JP3015566B2 (ja) 1991-12-20 2000-03-06 三菱重工業株式会社 交流磁気浮上搬送装置
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
CA2137471A1 (en) 1992-06-26 1994-01-06 Tugrul Yasar Transport system for wafer processing line
JP3544208B2 (ja) * 1992-07-07 2004-07-21 株式会社荏原製作所 磁気浮上搬送装置
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP3042576B2 (ja) 1992-12-21 2000-05-15 大日本スクリーン製造株式会社 基板処理装置
JP3338343B2 (ja) 1992-12-21 2002-10-28 大日本スクリーン製造株式会社 基板処理装置
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5402021A (en) * 1993-05-24 1995-03-28 Johnson; Howard R. Magnetic propulsion system
US5551350A (en) * 1993-10-07 1996-09-03 Daifuku Co., Ltd. Transporting system driven by linear motor having inductive power supply
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3279032B2 (ja) 1993-12-16 2002-04-30 スズキ株式会社 船外機のエンジン回転数制御装置
JPH07176593A (ja) 1993-12-20 1995-07-14 Ebara Corp 搬送装置
JPH07228344A (ja) 1994-02-14 1995-08-29 Ebara Corp トンネル搬送方法及び装置
JPH07228345A (ja) 1994-02-14 1995-08-29 Ebara Corp トンネル搬送装置
US5517924A (en) 1994-07-27 1996-05-21 The United States Of America As Represented By The United States Department Of Energy Double row loop-coil configuration for high-speed electrodynamic maglev suspension, guidance, propulsion and guideway directional switching
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3965343B2 (ja) 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
JPH08119409A (ja) 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
US5601029A (en) 1995-03-23 1997-02-11 The United States Of America As Represented By The Secretary Of The Interior Noncontact lateral control system for use in a levitation-type transport system
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (ja) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100244041B1 (ko) * 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
TW318258B (ja) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
US6450103B2 (en) * 1996-05-07 2002-09-17 Einar Svensson Monorail system
JPH09308292A (ja) 1996-05-10 1997-11-28 Canon Inc ブラシレスモータの駆動装置およびこれを用いた位置決めテーブル
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5881649A (en) * 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5980193A (en) * 1996-09-18 1999-11-09 Magnetic Bearing Technologies, Inc. Magnetically levitated robot and method of increasing levitation force
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US5944475A (en) 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5998889A (en) * 1996-12-10 1999-12-07 Nikon Corporation Electro-magnetic motor cooling system
JPH10214872A (ja) 1997-01-28 1998-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US5897760A (en) * 1997-03-10 1999-04-27 Bio-Rad Laboratories, Inc. Method and apparatus for the removal of non-uniformities in an electrophoresis apparatus
US5904101A (en) * 1997-04-22 1999-05-18 Power Superconductor Applications Co., Inc. Auxiliary propulsion for magnetically levitated vehicle
US5894760A (en) 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6234737B1 (en) 1997-07-22 2001-05-22 Richard C. Young Robotic container handler system
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
US6363109B1 (en) * 1998-02-03 2002-03-26 Texas Instruments Incorporated Methods and device for estimating and correcting clipping in a discrete multi-tone communications system
JP4061693B2 (ja) 1998-02-05 2008-03-19 神鋼電機株式会社 電子部品製造設備
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
EP1086485A2 (en) 1998-05-12 2001-03-28 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on a workpiece
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6540896B1 (en) 1998-08-05 2003-04-01 Caliper Technologies Corp. Open-Field serial to parallel converter
US6145444A (en) 1998-12-16 2000-11-14 Wilkinson; Kerry E. Micro clean sealed tubular transporter apparatus
NL1010836C2 (nl) * 1998-12-17 2000-06-23 O T B Engineering B V Oven voor het vervaardigen van zonnecellen.
US6481558B1 (en) 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP2000286318A (ja) 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6191394B1 (en) * 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP4330703B2 (ja) 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
WO2000078651A1 (en) * 1999-06-21 2000-12-28 Sri International Frictionless transport apparatus and method
TW504941B (en) 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
US6374748B1 (en) * 1999-10-28 2002-04-23 Murata Kikai Kabushiki Kaisha Tracking cart system
JP2001128316A (ja) 1999-10-28 2001-05-11 Murata Mach Ltd 有軌道台車システム
JP2001143979A (ja) 1999-11-17 2001-05-25 Matsushita Electronics Industry Corp 半導体基板処理システム
US6364592B1 (en) 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP3447640B2 (ja) * 1999-12-28 2003-09-16 日本電気株式会社 半導体記憶装置
JP2001189363A (ja) 2000-01-04 2001-07-10 Mitsubishi Electric Corp 半導体装置製造設備およびその制御方法
EP1286851A4 (en) 2000-03-07 2003-05-28 J Kirston Henderson MAGNETIC FLOATING TRANSPORT SYSTEM
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
WO2001075965A1 (fr) 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
US6297611B1 (en) 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP2002064968A (ja) * 2000-08-21 2002-02-28 Nippon Thompson Co Ltd 可動コイル型リニアモータを内蔵したスライド装置
JP4753224B2 (ja) 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2002068476A (ja) * 2000-08-29 2002-03-08 Anelva Corp 磁気搬送装置
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
US6570273B2 (en) 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
WO2002076782A2 (en) 2001-03-26 2002-10-03 James Russell Powell Electrical power storage and delivery using magnetic levitation technology
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US6983701B2 (en) 2001-10-01 2006-01-10 Magnemotion, Inc. Suspending, guiding and propelling vehicles using magnetic forces
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
KR100480333B1 (ko) * 2002-04-08 2005-04-06 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
ATE458090T1 (de) 2002-04-22 2010-03-15 Vsl Int Ag Verfahren zur verhinderung von relativen transversalen bewegungen zwischen einem rohr und mindestens einem kabel
US6684794B2 (en) 2002-05-07 2004-02-03 Magtube, Inc. Magnetically levitated transportation system and method
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6952846B2 (en) 2002-08-30 2005-10-11 Regalo International, Llc. Mattress hugging bed rail
DE10251382A1 (de) 2002-11-01 2004-05-13 Siemens Ag Verfahren zur Betätigung einer Sperrklinke in einem Schloss mit Drehfalle für ein Kraftfahrzeug
JP4363064B2 (ja) 2003-03-07 2009-11-11 株式会社安川電機 真空内駆動装置およびこれを用いた基板搬送装置
KR20050020138A (ko) * 2003-08-21 2005-03-04 삼성전자주식회사 반송 시스템
JP5226215B2 (ja) 2003-11-10 2013-07-03 ブルックス オートメーション インコーポレイテッド 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
KR20140069354A (ko) 2006-08-18 2014-06-09 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP5176416B2 (ja) 2007-07-20 2013-04-03 コニカミノルタビジネステクノロジーズ株式会社 文書処理装置、文書処理方法、および文書処理プログラム
JP5090383B2 (ja) 2009-01-21 2012-12-05 アルプス電気株式会社 光モジュール

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282669A (ja) * 2002-03-26 2003-10-03 Hitachi High-Technologies Corp 基板の搬送方法及びその装置
JP2005534176A (ja) * 2002-07-22 2005-11-10 ブルックス オートメーション インコーポレイテッド 基板処理装置
JP2004273842A (ja) * 2003-03-10 2004-09-30 Seiko Epson Corp 製造対象物の製造装置および製造対象物の製造方法
JP2005228917A (ja) * 2004-02-13 2005-08-25 Dainippon Screen Mfg Co Ltd 基板処理装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013176025A1 (ja) * 2012-05-22 2013-11-28 東京エレクトロン株式会社 搬送装置
JP2015089962A (ja) * 2013-11-07 2015-05-11 昭和電工株式会社 インライン式成膜装置及びそれを用いた磁気記録媒体の製造方法
US10381034B2 (en) 2013-11-07 2019-08-13 Showa Denko K.K. In-line type film forming apparatus and method of manufacturing magnetic recording medium using the same
KR101789375B1 (ko) 2014-06-17 2017-10-23 도요타지도샤가부시키가이샤 반도체 장치의 제조 라인 및 반도체 장치의 제조 방법
KR20190059738A (ko) * 2017-11-23 2019-05-31 박인태 정전척을 이용한 기판 처리 시스템
KR102015707B1 (ko) * 2017-11-23 2019-08-28 박인태 정전척을 이용한 기판 처리 시스템
KR20190100148A (ko) * 2017-11-23 2019-08-28 박인태 기판 처리 장치 및 방법
KR102318117B1 (ko) * 2017-11-23 2021-10-26 박인태 기판 처리 장치 및 방법
WO2021131253A1 (ja) * 2019-12-25 2021-07-01 株式会社Sumco 気相成長装置
JP2021103722A (ja) * 2019-12-25 2021-07-15 株式会社Sumco 気相成長装置
JP7205458B2 (ja) 2019-12-25 2023-01-17 株式会社Sumco 気相成長装置

Also Published As

Publication number Publication date
TWI486999B (zh) 2015-06-01
KR101415708B1 (ko) 2014-07-04
KR20090025268A (ko) 2009-03-10
JP5492553B2 (ja) 2014-05-14
TW200818247A (en) 2008-04-16
US9570330B2 (en) 2017-02-14
US20060285945A1 (en) 2006-12-21
US20140161570A1 (en) 2014-06-12
WO2007139896A3 (en) 2008-01-31
WO2007139896A2 (en) 2007-12-06
US8651789B2 (en) 2014-02-18
US7959395B2 (en) 2011-06-14
US20110232844A1 (en) 2011-09-29

Similar Documents

Publication Publication Date Title
JP5492553B2 (ja) 基板処理装置
EP1805792B1 (en) Substrate processing apparatus
EP1535313B1 (en) Substrate processing apparatus
US20070183871A1 (en) Substrate processing apparatus
US8602706B2 (en) Substrate processing apparatus
US8398355B2 (en) Linearly distributed semiconductor workpiece processing tool
US8960099B2 (en) Substrate processing apparatus
JP2009538541A5 (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100524

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130318

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130326

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130618

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140303

R150 Certificate of patent or registration of utility model

Ref document number: 5492553

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250