JP2009530494A - 成膜システム内の粒子コンタミネーションを抑制する方法および機器 - Google Patents

成膜システム内の粒子コンタミネーションを抑制する方法および機器 Download PDF

Info

Publication number
JP2009530494A
JP2009530494A JP2009500550A JP2009500550A JP2009530494A JP 2009530494 A JP2009530494 A JP 2009530494A JP 2009500550 A JP2009500550 A JP 2009500550A JP 2009500550 A JP2009500550 A JP 2009500550A JP 2009530494 A JP2009530494 A JP 2009530494A
Authority
JP
Japan
Prior art keywords
vapor
precursor
gas
metal
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009500550A
Other languages
English (en)
Other versions
JP5566100B2 (ja
Inventor
健二 鈴木
淳 五味
正道 原
寧 水澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2009530494A publication Critical patent/JP2009530494A/ja
Application granted granted Critical
Publication of JP5566100B2 publication Critical patent/JP5566100B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

成膜システム(1)内の基板(25)の粒子コンタミネーションが抑制される方法およびシステムが提供される。成膜システムは、1または2以上の粒子拡散器(47)を有し、これらは、膜前駆体粒子の流通を防止し、または一部防止するように構成され、あるいは膜前駆体粒子を分解し、または部分的に分解するように構成される。粒子拡散器は、膜前駆体蒸発システム(50)、蒸気供給システム(40)、蒸気分配システム(30)、またはこれらの2以上の内部に導入されても良い。

Description

本発明は、本願と同日に速達郵便EV791922289USで出願された、「蒸気分配システムにおける粒子コンタミネーションを抑制する方法および機器」という題目の同時係属中の米国特許出願第11/377,237号に関連する。上記出願は、本願の参照として取り入れられている。
本発明は、薄膜成膜用の方法およびシステムに関し、特に、金属カルボニル前駆体から形成される、金属層の粒子コンタミネーションを抑制する方法およびシステムに関する。
集積回路製造用の多層金属化構造への銅(Cu)金属の導入の際には、拡散バリア/ライナの使用が必須である。これらの使用は、密着性を向上させ、Cu層の成長を向上させるとともに、Cuの誘電体材料への拡散を抑制する。誘電体層上に設置されたバリア/ライナは、タングステン(W)、モリブデン(Mo)、およびタンタル(Ta)のような屈折性材料を有し、これらの材料は、Cuと非反応性で不混和性であり、低い電気抵抗が提供される。Cu金属化層および誘電体材料を集積する現在の集積方式では、約400゜から約500℃の間、またはそれ以下の基板温度での、バリア/ライナ成膜処理が必要となる。
例えば、130nm以下のノード技術用のCuの集積方式では、低誘電率(低k)層間誘電体が使用され、その後、物理気相成膜法(PVD)により、Ta層またはTaN/Ta層が形成され、その後、PVDでCuシード層が形成され、電気化学的成膜(ECD)により、Cuフィルが形成される。一般に、Ta層は、その密着性(すなわち、低k膜上での密着特性)の点から選定され、Ta/TaN層は、通常、そのバリア特性(すなわち、Cuの低k膜への拡散を抑制する特性)の点から選定される。
前述のように、Cuの拡散バリアとして、薄い遷移金属層の研究および適用についての研究が鋭意なされており、これらの研究には、クロム、タンタル、モリブデン、およびタングステンのような材料が含まれる。各これらの材料は、Cuに対して低い混和性を示す。近年では、可能性のあるバリア層として、ルテニウム(Ru)およびロジウム(Rh)のような他の材料が見出されている。これらの材料は、従来の耐熱金属と同様の挙動を示すことが期待されるためである。しかしながら、RuまたはRhは、Ta/TaNのような2層とは異なり、一つのバリア層のみの使用しかできない。この観察結果は、これらの材料の密着性およびバリア特性に起因するものである。例えば、一つのRu層は、Ta/TaNバリア層と置換することができる。また、現在の研究では、一つのRu層は、さらに、Cuシード層と置換され得ることが示されており、バルクのCuフィルは、Ru成膜に続いて、直接実施される。この観察は、CuとRu層の間の良好な密着性によるものである。
従来、Ru層は、熱化学気相成膜(TCVD)処理法において、ルテニウムカルボニル前駆体のような、ルテニウム含有前駆体の熱分解処理により形成される。ルテニウムカルボニル前駆体(例えばRu3(CO)12)の熱分解により成膜される、Ru層の材料特性は、基板温度が約400℃を下回ると、劣化する。その結果、低成膜温度におけるRu層の(電気)抵抗が上昇し、表面性状が低下し(例えば小塊の形成)、熱成膜Ru層内に、副生成物がより多く導入されてしまう。両方の影響は、基板温度が約400℃未満でのルテニウムカルボニル前駆体の熱分解における、一酸化炭素(CO)の脱着速度の低下により、説明することができる。
また、ルテニウムカルボニルまたはレニウムカルボニルのような、金属カルボニルの使用は、それらの蒸気圧が低いため、成膜速度の低下、およびそれと関連する輸送の問題につながる。概して、本願発明者らは、現在の成膜システムが、そのような低速度の問題を抱え、これがそのような金属膜の成膜の非実用性につながっていることを把握した。また本願発明者らは、現在の成膜システムが、膜の均一性および粒子コンタミネーションの問題を抱えていることを把握した。
本発明では、薄膜成膜システムにおける粒子コンタミネーションを抑制する方法およびシステムが提供され、特に、従来技術の1または2以上の問題が解決される。
さらに本発明では、金属カルボニル前駆体を使用して形成された、金属薄膜における粒子コンタミネーションを抑制する方法およびシステムが提供される。
本発明の原理では、薄膜成膜システムには、1または2以上の粒子拡散器が備えられ、この拡散器は、キャリアガスおよび金属前駆体蒸気の流経路に沿って、成膜システム内に設置される。
ある実施例では、基板上に耐熱金属膜を形成する成膜システムであって、
前記基板を支持し、該基板を加熱するように構成された基板ホルダ、前記基板の上部に金属前駆体蒸気を導入するように構成された蒸気分配システム、および前記処理チャンバを排気するように構成された排気システムを有する処理チャンバと、
金属前駆体を蒸発させ、金属前駆体蒸気を形成するように構成された、金属前駆体蒸発システムと、
前記金属前駆体蒸発システムに結合された第1の端部、および前記処理チャンバの前記蒸気分配システムの入口に結合された第2の端部を有する蒸気供給システムと、
前記金属前駆体蒸発システム、前記蒸気供給システム、およびその両方のうちの少なくとも一つに結合され、キャリアガスを供給するように構成されたキャリアガス供給システムであって、前記蒸気分配システムの前記入口に、前記蒸気供給システムを介して、前記キャリアガス中に前記金属前駆体蒸気を搬送するキャリアガス供給システムと、
前記キャリアガスおよび前記金属前駆体蒸気の流経路に沿って、当該成膜システムの内部に配置された、1または2以上の粒子拡散器と、
を有する成膜システムが開示される。
別の実施例では、薄膜成膜システムに結合されるように構成された膜前駆体蒸発システムであって、
前記薄膜成膜システムと密閉結合されるように構成された出口、およびキャリアガス供給システムと密閉結合されるように構成された入口を有する容器と、
前記容器内に受容されるように構成された、1または2以上のトレイを有するトレイスタックであって、前記1または2以上のトレイの各々内の金属前駆体材料を支持し、蒸発させ、金属前駆体蒸気が形成されるように構成されたトレイスタックと、
前記キャリアガス供給システムからのキャリアガスの流経路内、および前記容器の前記入口と前記出口の間の金属前駆体蒸気の流経路内に配置された、1または2以上の粒子拡散器と、
を有する膜前駆体蒸発システムが開示される。
さらに別の実施例では、基板上に金属層を成膜する方法であって、
当該方法は、
成膜システムの処理チャンバ内に、基板を提供するステップと、
金属カルボニル前駆体蒸気およびCOガスを含む処理ガスを形成するステップと、
前記処理ガスを、前記処理チャンバに導入するステップと、
前記基板の粒子コンタミネーションを抑制するため、前記成膜システムの内部に、1または2以上の粒子拡散器を配置するステップと、
前記希釈された処理ガスに前記基板を暴露して、気相成膜処理プロセスにより、前記基板上に金属層を成膜するステップと、
を有する方法が開示される。
以下の記載では、本発明の理解を容易にするため、非限定的な一例として、成膜システムの特定の形状、および各種部材の記載等の、特定の詳細について説明する。しかしながら、本発明は、これらの特定の詳細とは異なる、他の実施例においても実施し得ることを理解する必要がある。
図面を参照すると、いくつかの図を通して、同一のまたは対応する部品には、同様の参照符号が付されている。図1には、第1の実施例による、金属カルボニル前駆体から、基板上に金属層を成膜する成膜システム1を示す。成膜システム1は、処理チャンバ10を有し、このチャンバは、上部に金属層が形成される基板25を支持するように構成された基板ホルダ20を有する。処理チャンバ10は、蒸気前駆体供給システム40を介して、金属前駆体蒸発システム50に結合される。
さらに処理チャンバ10は、ダクト36を介して、真空排気システム38に結合され、排気システム38は、処理チャンバ10、蒸気前駆体供給システム40、および金属前駆体蒸発システム50を、基板25への金属層形成に適した圧力であって、金属前駆体蒸発システム50内の金属カルボニル前駆体52の蒸発に適した圧力まで、減圧するように構成される。
再度図1を参照すると、金属前駆体蒸発システム50は、金属カルボニル前駆体52を保管し、該金属カルボニル前駆体52の蒸発に十分な温度であって、蒸気前駆体供給システム40に、金属カルボニル前駆体蒸気が導入されるような温度にまで、金属カルボニル前駆体52を加熱するように構成される。金属カルボニル前駆体52は、金属前駆体蒸発システム50内で、選択された加熱条件の下では、固体であっても良い。あるいは、金属カルボニル前駆体52は、液体であっても良い。以下、固体金属カルボニル前駆体52について説明する:しかしながら、本発明の範囲から逸脱しないで、選定された加熱条件下では、液体である金属カルボニル前駆体を使用しても良いことは、当業者には明らかであろう。例えば、金属カルボニル前駆体は、Mx(CO)yの一般式を有しても良く、タングステンカルボニル、モリブデンカルボニル、コバルトカルボニル、ロジウムカルボニル、レニウムカルボニル、クロムカルボニル、オスミウムカルボニル、またはこれらの組み合わせを有しても良い。これらの金属カルボニルには、これに限られるものではないが、W(CO)6、Ni(CO)4、Mo(CO)6、Co2(CO)8、Rh4(CO)12、Re2(CO)10、Cr(CO)6、Ru3(CO)12、Os3(CO)12またはこれらの2もしくは3以上の組み合わせを含んでも良い。
金属カルボニル前駆体52を蒸発させる(または固体金属カルボニル前駆体52を昇華させる)所望の温度を得るため、金属前駆体蒸発システム50は、蒸発温度を制御するように構成された、蒸発温度制御システム54に結合される。例えば、金属カルボニル前駆体52の温度は、通常、従来のシステムよりも約40℃乃至45℃高い温度まで加熱され、ルテニウムカルボニルRu3(CO)12が昇華される。この温度では、Ru3(CO)12の蒸気圧は、例えば、約1から約3mTorrの範囲である。金属カルボニル前駆体は、加熱されて蒸発(昇華)するため、キャリアガスは、金属カルボニル前駆体52を通過し、または金属カルボニル前駆体52を流通し、または両方が生じる。キャリアガスは、例えば、He、Ne、Ar、Kr、Xeのような希ガス、またはこれらの2もしくは3以上の混合物を含んでも良い。あるいは、他の実施例では、キャリアガスが省略されても良い。
本発明の実施例では、キャリアガスにCOガスが追加されても良い。あるいは、他の実施例では、COガスはキャリアガスに置換されても良い。例えば、金属前駆体蒸発システム50には、ガス供給システム60が結合され、例えば、これは、金属カルボニル前駆体52の下側に、供給ライン61を介して、または金属カルボニル前駆体52の上方に、供給ライン62を介して、キャリアガス、COガス、またはこれらの混合ガスを供給するように構成される。これに加えて、またはこれとは別に、ガス供給システム60は、金属前駆体蒸発システム50から下流の、蒸気前駆体供給システム40に結合され、金属カルボニル前駆体52の蒸気が蒸気前駆体供給システム40に入った際、または入った後に、金属カルボニル前駆体52の蒸気に、供給ライン63を介してガスが供給される。図には示されていないが、ガス供給システム60は、キャリアガス源、COガス源、1または2以上の制御バルブ、1または2以上のフィルタ、およびマスフロー制御器を有することも可能である。例えばキャリアガスの流速は、約0.1sccm(標準cm3/分)から約1000sccmの範囲であっても良い。あるいは、キャリアガスの流速は、例えば、約10sccmから約500sccmの範囲にしても良い。さらに、キャリアガスの流速は、約50sccmから約200sccmの範囲であっても良い。本発明の実施例では、COガスの流速は、約1sccmから約100sccmの間であっても良い。
膜前駆体蒸発システム50から下流側では、金属前駆体蒸気は、蒸気供給システム40を介して、キャリアガスとともに流通し、このガスは、処理チャンバ10に結合された、蒸気分配システム30に入る。蒸気供給システム40は、蒸気ライン温度の制御のため、蒸気ライン温度制御システム42に結合され、これにより、膜前駆体蒸気の分解が抑制されるとともに、膜前駆体蒸気の凝固が抑制される。例えば、蒸気ライン温度は、蒸発温度とほぼ等しい値、またはこれより大きな値に設定される。また、例えば、蒸気供給システム40は、約50リットル/秒を超える大きなコンダクタンスを有しても良い。
再度図1を参照すると、処理チャンバ10に結合された蒸気分配システム30は、プレナム32を有し、蒸気は、蒸気分散板34を通り、基板25の上部の処理領域33に入る前に、このプレナム内で分散される。また、蒸気分散板34は、分散板温度制御システム35に結合されても良く、この分散板温度制御システム35は、蒸気分散板34の温度を制御するように構成される。例えば、蒸気分散板の温度は、蒸気ライン温度とほぼ等しい値に設定されても良い。ただし、この値は、これより低くても高くても良い。
本発明の実施例では、処理チャンバ10に、希釈ガス源37が結合され、この希釈ガス源37は、金属カルボニル前駆体蒸気およびCOガスを含む処理ガスに、希釈ガスを添加して、処理ガスを希釈するように構成される。図1を参照すると、希釈ガス源37は、供給ライン37aを介して、蒸気分配システム30に結合され、処理ガスが蒸気分配板34を通過し、処理領域33に入る前に、蒸気分配プレナム32内で、希釈ガスを処理ガスに添加するように構成される。あるいは、希釈ガス源37は、供給ライン37bを介して、処理チャンバ10に結合され、処理ガスが蒸気分配板34を通過した後、基板25の上部の処理領域33において、処理ガスに、希釈ガスを添加するように構成される。さらに別の方法では、希釈ガス源37は、供給ライン37cを介して、蒸気分配システム30に結合され、分配板34内で、処理ガスに希釈ガスを添加するように構成される。当業者には明らかなように、希釈ガスは、蒸気分配システム30および処理チャンバ10の他の位置で、処理ガスに添加されても良い。
さらに別の実施例では、希釈ガスは、供給ライン37a、37b、37cの一つを介して、あるいは、他の供給ライン(図示されていない)から、希釈ガス源37から処理ガスに導入され、基板25の上部のある領域における希釈ガスの濃度が、基板25の上部の別の領域の希釈ガスの濃度とは異なるように調整される。例えば、基板25の中央領域への希釈ガスの流れは、基板25の周囲領域に向かう希釈ガスの流れとは異なっている。
一度、膜前駆体蒸気が処理領域33に入ると、膜前駆体蒸気は、基板表面に吸着した際に、基板25の高温により、熱分解し、基板25上に薄膜が形成される。基板ホルダ20は、基板温度制御システム22に結合され、基板25の温度が昇温されるように構成される。例えば、基板温度制御システム22は、約500℃まで、基板25の温度を昇温するように構成される。ある実施例では、基板温度は、約100℃から約500℃までの範囲にされても良い。別の実施例では、基板温度は、約300℃から約400℃の範囲にされても良い。また、処理チャンバ10は、チャンバ壁の温度を制御するように構成された、チャンバ温度制御システム12に結合されても良い。
前述のように、例えば、従来のシステムは、金属蒸気前駆体の分解および金属蒸気前駆体の凝固を抑制するため、膜前駆体蒸発システム50と蒸気前駆体供給システム40とを、ルテニウムカルボニルのため、約40℃乃至45℃の温度範囲で作動するように構成される。例えば、ルテニウムカルボニル前駆体は、高温で分解し、以下のように、副生成物を生成する。
Figure 2009530494
ここで、これらの副生成物は、成膜システム1の内表面に、吸着(ad)し、すなわち凝集する。これらの表面上の材料の堆積により、処理の繰り返し性等に関し、一つの基板から次の基板に問題が生じる。あるいは、例えば、ルテニウムカルボニル前駆体は、温度低下により凝集し、以下の再結晶化が生じる:
Figure 2009530494
要約すると、いくつかの金属カルボニル前駆体(例えばRu3(CO)12)の低蒸気圧、および処理窓の狭さにより、金属層の基板25への成膜速度が著しく低下する。
本願の参照として示されている、「金属カルボニル前駆体からの金属層の成膜速度を高める方法」という題目の2004年11月23日に出願された、関連する係属中の米国特許出願第10/996,145号、および「金属カルボニル前駆体からの金属層の成膜速度を高める方法および成膜システム」という題目の2004年11月23日に出願された、関連する係属中の米国特許出願第10/996,144号に関連して、COガスを金属カルボニル前駆体蒸気に追加することにより、金属カルボニル前駆体の基板への供給が制限されるという、前述の問題を軽減することができることが理解される。すなわち、ある実施例では、金属カルボニル前駆体蒸気にCOガスが添加され、金属カルボニル前駆体蒸気の分離が抑制され、これにより、式(1)の平衡が左にシフトし、金属カルボニル前駆体が処理チャンバ10に供給する前に、蒸気前駆体供給システム40での金属カルボニル前駆体の事前の分解が抑制される。金属カルボニル前駆体蒸気へのCOガスの添加により、約40℃から約150℃またはそれ以上にまで、蒸発温度が高まるものと考えられる。高温では、金属カルボニル前駆体の蒸気圧力が高まり、この結果、処理チャンバへの金属カルボニル前駆体の供給が増加し、さらには、基板25上の金属の成膜速度が増大する。また、本願発明者等は、Arのような不活性ガスとCOガスの混合物を、金属カルボニル前駆体の上部に、または金属カルボニル前駆体を介して、流すことにより、金属カルボニル前駆体の事前の分解が抑制されることを視覚的に確認した。
別の実施例では、Ru3(CO)12前駆体蒸気へのCOガスの添加により、Ru3(CO)12前駆体蒸発温度が約40℃から約150℃に維持される。あるいは、蒸発温度は、約60℃から約90℃に維持される。
金属カルボニル前駆体の熱分解、およびその後の基板25上での金属成膜は、CO除去および基板25からのCO副生成物の脱着により、優先的に進行すると予想される。成膜の間のCO副生成物の金属層への導入は、金属カルボニル前駆体の不完全な分解、金属層からのCO副生成物の不完全な除去、および処理チャンバ10からのCO副生成物の金属層への再吸着によって生じる。
成膜の間の金属層へのCOの導入は、金属層内における小塊の形態の表面粗さにつながり、小塊の成長は、CO副生成物の金属層への導入の増大によって、加速されると予想される。小塊の数は、金属層の厚さの増加とともに増加することが予想される。また、CO副生成物の金属層への導入は、金属層の抵抗を上昇させる。
CO副生成物の金属層への導入は、(1)処理圧力の低下、および(2)基板温度の上昇により、抑制される。本願発明者らは、前述の問題は、処理チャンバ10内の希釈ガスを、金属カルボニル前駆体蒸気およびCOガスを含む処理ガスに添加し、処理チャンバ内の副生成物およびCOガスの分圧を制御し、抑制することにより、抑制されることを見出した。すなわち、本発明のある実施例では、希釈ガス源37からの希釈ガスが処理ガスに添加され、金属層上のCO副生成物の分圧、および処理チャンバ10内のCO分圧が制御され、抑制され、これにより、平滑な金属層が形成される。希釈ガスは、例えば、He、Ne、Ar、Kr、Xe、またはこれらの2以上の混合物の希ガスのような不活性ガスを含む。希釈ガスは、さらに、例えば電気抵抗のような金属層の材料特性を改善するように、還元性ガスを含んでも良い。還元性ガスは、例えば、H2、シリコン含有ガス(例えばSiH4、Si2H6、またはSiCl2H2)、ボロン含有ガス(BH3、B2H6、またはB3H9)、または窒素含有ガス(例えばNH3)を含んでも良い。本発明の実施例では、処理チャンバ圧力は、約0.1mTorrから約200mTorrの間であっても良い。あるいは、処理チャンバ圧力は、約1mTorrから約100mTorrの間であっても良い。あるいは、処理チャンバ圧力は、約2mTorrから約50mTorrの間であっても良い。
金属カルボニル前駆体蒸気へのCOガスの添加により、金属カルボニル前駆体蒸気の熱安定性が向上し、処理ガス中のCOガスに対する金属カルボニル前駆体蒸気の相対濃度は、ある基板温度での、基板25上の金属カルボニル前駆体の分解速度の制御に利用することができる。また、基板温度は、基板25上の金属の分解速度(および成膜速度)の制御に利用することができる。COガス量および基板温度は、金属カルボニル前駆体の所望の蒸発温度が得られるように、および基板25上で金属カルボニル前駆体の所望の分解速度が得られるように、容易に変化し得ることは、当業者には容易に理解される。
また、処理ガス中のCOガスの量は、金属カルボニル前駆体からの基板25上での金属成膜が速度論的な律速温度領域で生じるように選定することができる。例えば、処理ガス中のCOガスの量は、速度論的な律速温度領域で金属成膜処理が生じたことが観察されるまで増加させても良い。速度論的な律速温度領域とは、化学蒸気成膜処理法における成膜速度が、基板表面での化学反応の速度によって律速されるような成膜条件の範囲を意味し、通常の場合、温度に対する成膜速度の強い依存性により特徴付けられる。速度論的な律速温度領域とは異なり、物質移動律速領域は、通常、高い基板温度で観測され、化学反応の成膜速度が基板表面への流束により律速される成膜条件の範囲を含む。物質移動律速領域は、金属カルボニル前駆体の流速に対する、成膜速度の強い依然性により特徴付けられ、成膜温度には依存しない。速度論的な律速領域での金属成膜では、通常、良好なステップ被覆率が得られ、パターン化基板上に金属層の良好な共形性が得られる。一般に、共形性は、パターン化基板上の特徴物の側壁の金属層の最も薄い部分を、側壁の金属層の最も厚い部分で除すことにより定められる。一般に、ステップ被覆率は、底部被覆率(特徴物から離れた位置の金属層厚さで除した、特徴物の底部の金属層の厚さ)で除した側壁の被覆率(特徴物から離れた位置の金属層の厚さで除した、側壁の金属層厚さ)として定義される。
前述のように、処理ガスへの希釈ガスの導入は、金属層上のCO副生成物の分圧、および処理チャンバ10内のCO分圧の制御および抑制に利用され、これにより、所望の特性を有する薄い金属膜が調製される。しかしながら、本願発明者らは、CO副生成物の分圧、COの分圧、またはその両方は、基板25にわたって変化し、すなわち、不均一な膜特性が得られることを観測している。例えば、基板ホルダ20のエッジ温度は、基板25の温度よりも高くなることが予想される。基板ホルダ20のエッジ温度の上昇は、CO副生成物の形成の増加につながり(前述のように)、これが基板25の周囲端部の方に拡散し、基板25の周囲端部に近接して形成された薄い金属膜に、CO被毒が生じる。従って、ある例では、前述のように、基板25の周囲端部への希釈ガスの流れが、基板25の中央領域への希釈ガスの流れに対して調節され、COおよびCO副生成物の相対的な希釈が調整される。
しかしながら、成膜システムの各種表面での膜前駆体蒸気の分解、ならびに膜前駆体蒸気の凝集および再結晶化を抑制し、または最小化するように注意を払っても、成膜システム内で形成された薄膜の粒子コンタミネーションは、依然存在する。本願発明者らは、成膜システム全体、特に膜前駆体蒸発システム50、蒸気供給システム40、および蒸気分配システム30内で、粒子が生じることを予想した。粒子は、膜前駆体蒸発システム50内の固体前駆体の貯蔵から、直接搬送、輸送され、あるいは、これらは、蒸気供給システム40または蒸気分配システム30の内表面から発達する。従って、ある実施例では、膜前駆体蒸発システム50、蒸気供給システム40、蒸気分配システム30、もしくはこれらの2または3以上の内部に、1または2以上の拡散器が配置される。粒子拡散器は、例えば、粒子クラスターの分解を容易にし、前駆体の再蒸発を可能にする。
例えば、図1を参照すると、粒子拡散器は、蒸気分配システム30の内部(ラベル47a参照)、蒸気供給システム40の出口(ラベル47b参照)、または膜前駆体蒸発システム50の出口(ラベル47c参照)に配置される。図1には、3つの配置しか示されていないが、粒子発生部と基板25の間の可能性のある流経路に沿って延在する、成膜システム1中のいかなる配置を検討しても良い。
ある実施例では、粒子拡散器(47a、47b、47c)は、予め特定された寸法の粒子の通過を最小化するのに十分な構造を有する。別の実施例では、粒子拡散器(47a、47b、47c)は、拡散器を通過する粒子クラスターを小片に分解するのに十分な構造を有する。さらに別の実施例では、粒子拡散器(47a、47b、47c)は、拡散器を通る前駆体蒸気の流れに対する抵抗を最小化する(すなわち、粒子拡散器を通るフローコンダクタンスを最大化する)ように設計されるとともに、粒子軌道と交差する追加表面領域が提供され、これにより、粒子クラスターが分解し、粒子の小片が再蒸発する。例えば、粒子拡散器(47a、47b、47c)は、スクリーンまたはメッシュを有しても良い。また、例えば、粒子拡散器(47a、47b、47c)は、ハニカム構造を有しても良い。ハニカム構造とすることにより、全流通領域を最大化する拡散器の設計が可能となる上、各ハニカムセルの直径および長さを、濡れ表面積が最大となるように選択することが可能になる。また、例えば、粒子拡散器(47a、47b、47c)は、1または2以上の開口を有しても良く、この場合、キャリアガスおよび金属前駆体蒸気の流通が可能となり、1または2以上の開口は、実質的にキャリアガスおよび金属前駆体蒸気の流通路に整列される。あるいは、粒子拡散器(47a、47b、47c)は、1または2以上の開口を有し、これによりキャリアガスおよび金属前駆体蒸気の流通が可能になっても良く、1または2以上の開口は、キャリアガスおよび金属前駆体蒸気の流通路に対して傾斜され、あるいは湾曲される。
また、本願発明者らは、成膜システム内での移送の際に、ガス温度の突発的な変化により、凝集および再結晶化を通じて、さらにはその後の粒子の集塊化により、粒子形成が生じ、これにより粒子が発生することを予測した。例えば、図3を参照すると、一例として、蒸気分配システムの分解図が示されている。蒸気分配システム230は、蒸気供給システム240から、開口235を介して、プレナム232において、膜前駆体蒸気を含む処理ガス220を受容するように構成され、薄膜または金属膜が形成される基板近傍の処理空間233内に、処理ガス220が分配される。蒸気分配システム240は、ハウジング236と、ハウジング236に結合され、プレナム232を形成する蒸気分散板231とを有する。蒸気分散板231は、複数の開口234を有し、これらの開口を介して、処理ガスは、プレナム232から処理空間233に流通する。
成膜システムを通る処理ガスの所与の流速において、プレナム232内ではプレナム圧力(P1)が形成され、処理空間233では、処理圧力(P2)が形成される。圧力の差異ΔP(ΔP=P1−P2)は、流速(または処理量)(Q)、および蒸気分散板231の複数の開口234を通る正味のフローコンダクタンス(C)に相関し、すなわち、ΔP=Q/Cである。従って、複数の開口を通る正味のフローコンダクタンスが増加すると、流速(Q)が一定であっても、圧力差は減少する。
バックグラウンド圧力(例えば、プレナムと処理空間の間の平均圧力)が十分に高い場合(すなわち、原子/分子衝突の平均自由工程が、流れの物理的なスケール、例えば各開口の直径、に比べて小さい場合)、プレナム232から処理空間233に向かう処理ガスの膨脹は、連続領域を通り、可能な場合、遷移領域に向かうある連続流体挙動を示し、すなわち、圧力差によるガスの膨脹とともに、熱エネルギーの速度エネルギーへの移動のため(巨視的レベル)、ガス温度が低下する。例えば、連続流体(等温)が、開口を介して、プレナム圧力(P1)のような全圧力(停滞圧力)から、処理圧力(P2)のような所与のバック圧力まで膨脹する場合、圧力比(P1/P2)が[(γ+1)/2)]γ/(γ−1)以上になると、流体の流れは、狭められる(体積流速は、バック圧力のさらなる減少の際に上昇が止まる)。ここでγは、ガスの比熱を示す(アルゴンの場合、γ=1.667であり、P1/P2(臨界値)は、〜2.05である;COの場合、γ=1.4で、P1/P2(臨界値)は、〜1.89である)。臨界条件を超えるバック圧力のさらなる減少(または圧力比の上昇)は、処理空間でのガスの自由膨脹につながる。
本願発明者らは、ガスの冷却が、基板の上部の処理空間233内での膜前駆体蒸気の凝集および粒子の形成につながると予想している。ガス温度の低下は、圧力差(ΔP=P1−P2)、または圧力比(P1/P2)に相関する。従って、別の実施例では、粒子形成およびコンタミネーションは、圧力差(ΔP=P1−P2)または圧力比(P1/P2)が低下するように、蒸気分散板231を設計すること、処理条件(例えば、Q、P1、P2など)を変化させること、あるいはその両方により抑制される。
例えば、蒸気分散板231は、複数の開口を有するように設計され、これらの開口では、正味のフローコンダクタンスは、蒸気供給システムを通るフローコンダクタンスとほぼ等しくなる。あるいは、例えば、蒸気分散板231は、複数の開口を有するように設計され、これらの開口は、蒸気供給システムを通るフローコンダクタンスよりも大きな、正味のフローコンダクタンスを有する。あるいは、例えば、蒸気分散板231は、複数の開口を有するように設計され、これらの開口は、約1から約1000の数の開口であり、好ましくは、約10から約100の開口数を有する。また、例えば、蒸気分散板231は、複数の開口を有するように設計され、各開口は、約1mmから約100mmの範囲の直径を有しても良く、好ましくは約4mmから約10mmの範囲の直径を有する。また、例えば、蒸気分散板231は、複数の開口を有するように設計され、各開口は、約1mmから約100mmの範囲の長さを有し、好ましくは約4mmから約20mmの範囲の長さを有する。
再度図1を参照すると、必要な場合、成膜システム1は、in-situ清浄化システム70を用いて、周期的に清浄化され、この清浄化システムは、図1に示すように、例えば、蒸気供給システム40に結合される。オペレータによって定められた周期毎に、in-situ清浄化システム70は、定められた成膜システム1の清浄化を実施し、成膜システム1の内表面に堆積した残渣を除去する。in-situ清浄化システム70は、例えば、ラジカル発生器を有し、この発生器は、そのような残渣と化学的に反応し、これを除去し得る化学的ラジカルを形成する。必要な場合、例えば、in-situ清浄化システム70は、オゾンの分圧を発生するように構成されたオゾン発生器を有する。例えば、ラジカル発生器は、上流プラズマ源を有し、このプラズマ源は、酸素(O2)、フッ化窒素(NF3)、O3、XeF2、ClF3、またはC3F8(またはより一般的にはCxFy)から、酸素またはフッ素のラジカルを形成するように構成される。ラジカル発生器は、MKSインスツルメンツ社から入手できるアストロン(登録商標)反応ガス発生器、ASTeX(登録商標)プロダクツ(018887マサチューセッツ州、Wilminfton、90 Industrial Way)を含む。
再度図1を参照すると、さらに成膜システム1は、制御システム80を有し、この制御システムは、成膜システム1を作動し、動作を制御するように構成される。制御システム80は、処理チャンバ10、基板ホルダ20、基板温度制御システム22、チャンバ温度制御システム12、蒸気分配システム30、蒸気供給システム40、膜前駆体蒸発システム50、キャリアガス供給システム60、希釈ガス源37、および任意のin-situ清浄化システム70に結合される。
別の実施例として、図2には、ルテニウム(Ru)のような金属膜を基板上に成膜する成膜システム100が示されている。成膜システム100は、基板ホルダ120を有する処理チャンバを有し、この基板ホルダは、上部に金属層が形成される基板125を支持するように構成される。処理チャンバ110は、前駆体供給システム105に結合され、このシステム105は、金属カルボニル前駆体152を保管し蒸発させるように構成された金属前駆体蒸発システム150と、金属カルボニル前駆体152を処理チャンバ110に輸送するように構成された蒸気前駆体供給システム140とを有する。
処理チャンバ110は、上部チャンバ区画111と、下部チャンバ区画112と、排気チャンバ113とを有する。下部チャンバ区画112内には、開口114が形成され、ここで、底部区画112が排気チャンバ113に結合される。
再度図2を参照すると、基板ホルダ120は、被処理基板(またはウェハ)125を支持する水平表面を提供する。基板ホルダ120は、円筒状支持部材122により支持されても良く、この部材は、排気チャンバ113の下側部分から上方に延伸している。基板ホルダ120の端部には、基板125を基板ホルダ120上に位置決めする、任意のガイドリング124が提供される。また、基板ホルダ120は、ヒータ126を有し、このヒータは、基板ホルダ温度制御システム128に結合される。ヒータ126は、例えば、1または2以上の抵抗加熱素子を含んでも良い。あるいは、ヒータ126は、例えば、タングステンハロゲンランプのような、放射加熱システムを含んでも良い。基板ホルダ温度制御システム128は、1または2以上の加熱素子に電力を供給する電源と、基板温度もしくは基板ホルダ温度もしくはその両方を測定する1または2以上の温度センサと、基板温度もしくは基板ホルダの温度のモニタリング、調節または制御のうちの少なくとも一つを実施するように構成された制御器と、を含んでも良い。
処理の間、加熱された基板125は、金属カルボニル前駆体蒸気を熱分解し、これにより、基板125上への金属層の成膜が可能となる。ある実施例では、金属カルボニル前駆体152は、例えばRu3(CO)12のような、ルテニウムカルボニル前駆体であっても良い。熱化学蒸気成膜の当業者には明らかなように、本発明の範囲から逸脱しないで、他のルテニウムカルボニル前駆体を使用することも可能である。基板ホルダ120は、所望のRu金属層、または他の金属層の基板125上への成膜に適した所定の温度にまで加熱される。また、チャンバ温度制御システム121に結合されたヒータ(図示されていない)は、処理チャンバ110の壁に埋設されても良く、この場合、チャンバ壁が所定の温度に加熱される。ヒータにより、処理チャンバ110の壁の温度は、約40℃から約150℃に維持され、あるいは約40℃から約150℃に維持される。圧力ゲージ(図示されていない)を用いて、処理チャンバ圧力が測定される。本発明の実施例では、処理チャンバ圧力は、約0.1mTorrから約200mTorrの間であっても良い。あるいは、処理チャンバ圧力は、約1mTorrから約100mTorrの間であっても良い。あるいは、処理チャンバ圧力は、約2mTorrから約50mTorrの間であっても良い。
また図2に示すように、処理チャンバ110の上部チャンバ区画111には、蒸気分配システム130が結合される。蒸気分配システム130は、蒸気分散板131を有し、この分散板は、蒸気分配プレナム132から、1または2以上のオリフィス134を介して、基板125の上部の処理領域133に前駆体蒸気を導入するように構成される。
本発明の実施例では、希釈ガス源137が処理チャンバ110に結合され、供給ライン137a、137b、および/または137c、バルブ197、1もしくは2以上のフィルタ(図示されていない)、ならびにマスフロー制御器(図示されていない)を用いて、金属カルボニル前駆体蒸気およびCOガスを含む処理ガスに希釈ガスを添加して、このガスを希釈するように構成される。図1に示したように、希釈ガス源137は、処理チャンバ110の蒸気分配システムに接続され、処理ガスが蒸気分散板131を介して、基板125の上部の処理領域133に流入する前に、蒸気分配プレナム132において、供給ライン137aを介して、処理ガスに希釈ガスを添加するように構成される。あるいは、希釈ガス源137は、蒸気分散板131内で、供給ライン137cを介して、処理ガスに希釈ガスを添加するように構成される。あるいは、希釈ガス源137は、処理チャンバ110に結合され、処理ガスが蒸気分散板131を通過した後に、供給ライン137bを介して、処理領域133内の処理ガスに、希釈ガスを添加するように構成される。本発明の範囲から逸脱しないで、処理チャンバ110内の他の位置で、希釈ガスが処理ガスに添加されても良いことは、当業者には明らかである。
さらに別の実施例では、希釈ガスは、希釈ガス源137から、一つの供給ライン137a、137b、137c、または他の供給ライン(図示されていない)を介して、処理ガスに導入され、基板125の上部のある領域での希釈ガスの濃度は、基板125の上部の別の領域とは異なる希釈ガス濃度に調整されても良い。例えば、基板125の中央の領域への希釈ガスの流れは、基板125の周囲領域へ向かう希釈ガスの流れとは異なっていても良い。
また、上部チャンバ区画111には、開口135が設けられ、金属カルボニル前駆体蒸気は、蒸気前駆体供給システム140から、蒸気分配プレナム132に導入される。また、冷却または加熱流体を供給するように構成された同心流体チャネルのような温度制御素子136が設けられることにより、蒸気分配システム130の温度が制御され、これにより、蒸気分配システム130内での金属カルボニル前駆体の分解または凝集が回避される。蒸気分散温度制御システム138から、例えば、水のような流体が流体チャネルに供給される。蒸気分散温度制御システム138は、流体源、熱交換器、流体温度もしくは蒸気分散板の温度もしくは両方の温度を測定する、1または2以上の温度センサ、および蒸気分散板131の温度を約20℃から約150℃に制御するように構成された制御器を有しても良い。
図2に示すように、金属前駆体蒸発システム150は、金属カルボニル前駆体152を保持し、金属カルボニル前駆体の温度を昇温することにより、金属カルボニル前駆体152を蒸発(または昇華)させるように構成される。前駆体ヒータ154は、金属カルボニル前駆体152を加熱して、金属カルボニル前駆体152を、該金属カルボニル前駆体152の所望の蒸気圧が生じる温度に維持するために設けられる。前駆体ヒータ154は、蒸発温度制御システム156に結合され、このシステムは、金属カルボニル前駆体152の温度を制御するように構成される。例えば、前駆体ヒータ154は、金属カルボニル前駆体152の温度を、約40℃から約150の範囲に調節するように構成され、あるいは約60℃から約90℃に調節するように構成される。
金属カルボニル前駆体152が加熱されると、蒸発(または昇華)が生じ、キャリアガスは、金属カルボニル前駆体152の上部を流通し、または金属カルボニル前駆体152を通過し、あるいは、これらの組み合わせが生じる。キャリアガスは、例えば、希ガス(すなわち、He、Ne、Ar、Kr、Xe)のような不活性ガスを含む。あるいは、他の実施例では、キャリアガスは省略されても良い。本発明のある実施例では、キャリアガスにCOガスが添加される。あるいは、他の実施例では、COガスはキャリアガスに置換されても良い。例えば、キャリアガス供給システム160は、金属前駆体蒸発システム150に結合され、これは、例えば、キャリアガス、COガス、またはその両方が、金属カルボニル前駆体152の上部に、あるいは金属カルボニル前駆体152を介して流れるように構成される。図2には示されていないが、さらにあるいは別に、キャリアガス供給システム160は、蒸気前駆体供給システム140に結合され、キャリアガスおよび/またはCOガスは、金属前駆体152の蒸気が蒸気前駆体供給システム140に入るとき、または入った後に、金属前駆体152の蒸気に供給されても良い。キャリアガス供給システム160は、キャリアガス、COガスまたはこれらの混合ガスを含むガス源161、1または2以上の制御バルブ162、1または2以上のフィルタ164、ならびにマスフロー制御器165を有する。例えば、キャリアガスまたはCOガスのマスフロー速度は、約0.1sccmから約1000sccmの範囲である。
また、金属前駆体蒸発システム150からの全ガス流を測定するため、センサ166が提供される。センサ166は、例えば、マスフロー制御器を有し、処理チャンバ110に供給される金属カルボニル前駆体蒸気の量は、センサ166とマスフロー制御器165とを用いて定められる。あるいは、センサ166は、光吸収センサを有し、処理チャンバ110へ向かうガス流内の金属カルボニル前駆体の濃度が測定される。
センサ166の下流には、バイパスライン167が配置され、このバイパスラインは、蒸気供給システム140を排気ライン116に接続する。バイパスライン167は、蒸気前駆体供給システム140の排気用に提供され、これにより、処理チャンバ110への金属カルボニル前駆体の供給が安定化する。また、蒸気前駆体供給システム140の分岐から下流側に配置されたバイパスバルブ168が、バイパスライン167に設けられる。
再度図2を参照すると、蒸気前駆体供給システム140は、それぞれ第1および第2のバルブ141、142を有する高コンダクタンス蒸気ラインを有する。また、蒸気前駆体供給システム140は、さらに、蒸気ライン温度制御システム143を有し、この蒸気ライン温度制御システム143は、ヒータ(図示されていない)を介して、蒸気前駆体供給システム140を加熱するように構成される。蒸気ラインの温度は、蒸気ライン内での金属カルボニル前駆体蒸気の凝固が回避されるように制御される。蒸気ラインの温度は、約20℃から約100℃に制御され、または約40℃から約90℃に制御されても良い。
また、ガス供給システム190から、COガスが供給される。例えば、ガス供給システム190は、蒸気前駆体供給システム140に結合され、例えば、蒸気前駆体供給システム内で、例えばバルブ141の下流で、COガスを金属カルボニル前駆体蒸気と混合するように構成される。ガス供給システム190は、COガス源191、1または2以上の制御バルブ192、1または2以上のフィルタ194、およびマスフロー制御器195を有する。例えば、COガスの質量流速は、約0.1sccm(標準cm3/分)から約1000sccmの範囲である。
マスフロー制御器165および195と、バルブ162、192、168、141および142とは、制御器196により制御され、この制御器は、キャリアガス、COガス、および金属カルボニル前駆体蒸気の供給、遮断ならびに流れを制御する。また、制御器195にはセンサ166が接続され、センサ166の出力に基づいて、制御器195は、マスフロー制御器165を通るキャリアガスの流れを制御し、これにより処理チャンバ110への所望の金属カルボニル前駆体の流れが提供される。
別の実施例では、膜前駆体蒸発システム150、蒸気供給システム140、蒸気分配システム130、またはこれらの2もしくは3以上の内部には、1または2以上の粒子拡散器が配置される。例えば、図2を参照すると、粒子拡散器は、蒸気分配システム130の内部(ラベル147a参照)、蒸気供給システム140の出口(ラベル147b参照)、または膜前駆体蒸発システム150の出口(ラベル147c参照)に配置される。図2では、3つの配置しか示されていないが、これは、粒子発生部と基板125の間の粒子流経路に沿って延在する成膜システム101の、いかなる位置に配置されても良い。
ある実施例では、粒子拡散器(147a、147b、147c)は、予め特定された寸法の粒子の通過を最小化するのに十分な構造を有する。別の実施例では、粒子拡散器(147a、147b、147c)は、拡散器を通過する粒子クラスターを小片に分解するのに十分な構造を有する。さらに別の実施例では、粒子拡散器(147a、147b、147c)は、拡散器を通る前駆体蒸気の流れに対する抵抗を最小化する(すなわち、粒子拡散器を通るフローコンダクタンスを最大化する)ように設計されるとともに、粒子軌道と交差する追加表面領域が提供され、これにより、粒子クラスターが分解し、粒子の小片が再蒸発する。例えば、粒子拡散器(147a、147b、147c)は、スクリーンまたはメッシュを有しても良い。また、例えば、粒子拡散器(147a、147b、147c)は、ハニカム構造を有しても良い。ハニカム構造とすることにより、全流通領域を最大化する拡散器の設計が可能となる上、各ハニカムセルの直径および長さを、濡れ表面積が最大となるように選択することが可能になる。
また、前述のように、および図2に示したように、任意のin-situ清浄化システム170が、清浄化バルブ172を介して、成膜システム100の前駆体供給システム105に結合される。例えば、in-situ清浄化システム170は、蒸気供給システム140と結合されても良い。in-situ清浄化システム170は、例えば、ラジカル発生器を有しても良く、この発生器は、そのような残渣と化学的に反応し、これを除去し得る化学的ラジカルを形成する。必要な場合、例えば、in-situ清浄化システム170は、オゾンの分圧を発生するように構成されたオゾン発生器を有する。例えば、ラジカル発生器は、上流プラズマ源を有し、このプラズマ源は、酸素(O2)、フッ化窒素(NF3)、ClF3、O3、XeF2、またはC3F8(またはより一般的にはCxFy)から、酸素またはフッ素のラジカルを形成するように構成される。ラジカル発生器は、MKSインスツルメンツ社から入手できるアストロン(登録商標)反応ガス発生器、ASTeX(登録商標)プロダクツ(018887マサチューセッツ州、Wilminfton、90 Industrial Way)を含む。
図2に示すように、排気ライン116は、排気チャンバ113を排気システム118に接続する。真空ポンプ119を用いて、所望の真空度まで処理チャンバ110が減圧され、処理の間、処理チャンバ110から気体種が除去される。真空ポンプ119と直列に、自動圧力制御器(APC)115およびトラップ117が使用されても良い。真空ポンプ119は、最大5000リットル/秒(またはそれ以上)の速度で排気することが可能なターボ分子ポンプ(TMP)を含んでも良い。あるいは、真空ポンプ119は、乾燥粗引ポンプを含んでも良い。処理の間、処理ガスが処理チャンバ110に導入され、APC115により、チャンバ圧力が調節されても良い。APC115は、バタフライ式のバルブまたはゲートバルブを有しても良い。トラップ117は、処理チャンバ110から、未反応金属カルボニル前駆体材料および副生成物を収集しても良い。
再度、図2に示す処理チャンバ110内の基板ホルダ120参照すると、基板125を保持し、上昇、下降させるため、3本の基板リフトピン127(2本しか図示されていない)が設けられている。基板リフトピン127は、板123に結合されており、基板ホルダ120の上部表面の下側まで下降することができる。例えば空気シリンダを用いた駆動機構129によって、板123の上昇および下降手段が提供される。基板125は、ゲートバルブ200と、ロボット移動システム(図示されていない)を介したチャンバフィードスルー通路202とを介して、処理チャンバ110の内外に移動することができ、基板リフトピン127により受容される。一度、輸送システムから基板125が受容されると、基板は、基板リフトピン127を低下させることにより、基板ホルダ120の上部表面にまで下降される。
再度図2を参照すると、制御器180は、マイクロプロセッサ、メモリ、およびデジタルI/Oポートを有し、通信に必要な制御電圧が発生し、処理システム100の入力および処理システム100からのモニタ出力が活性化される。また、処理システム制御器180は、以下のものと結合され、情報交換される;処理チャンバ110;制御器196と、蒸気ライン温度制御システム143と、金属前駆体蒸発システム150と、ガス供給システム190と、キャリアガス供給システム160と、蒸発温度制御システム156とを有する前駆体供給システム105;蒸気分散温度制御システム138;希釈ガス源137;真空排気システム118;ならびに基板ホルダ温度制御システム128。真空排気システム118において、制御器180は、処理チャンバ110内の圧力を制御する自動圧力制御器115と結合され、情報交換される。メモリに保管されているプログラムを用いて、保管された処理レシピにより、成膜システム100の前述の部材が制御される。処理システム制御器180のある例は、テキサス州ダラスのデル社から得られる、DELL PRECISION WORKSTATION 610(登録商標)である。制御器180は、汎用コンピュータ、デジタル信号プロセッサ等で実施されても良い。
制御器180は、成膜システム100に対して、ローカルに配置され、または成膜システム100に対して、インターネットもしくはイントラネットを介して、遠隔配置されても良い。従って、制御器180は、直接接続、インターネット、またはイントラネットの少なくとも一つを用いて、成膜システム100とデータを交換することができる。制御器180は、顧客サイト(すなわち装置メーカ等)で、イントラネットに結合されても良く、あるいはベンダーサイト(すなわち装置製造社)で、結合されても良い。また、別のコンピュータ(すなわち制御器、サーバ等)により、制御器180がアクセスされ、直接接続、イントラネット、またはインターネットの少なくとも一つを介して、データが交換されても良い。
図4を参照すると、この図には、本発明の一実施例による蒸気分配システム230が示されている。蒸気分配システム230は、プレナム232において、開口235を通る蒸気供給システム240からの処理ガス220を受容するように構成され、金属膜が形成される基板近傍の処理空間233内に、処理ガス220を分配する。また、蒸気分配システムは、プレナム232において、任意で、希釈ガス源(図示されていない)からの希釈ガス250を受容するように構成され、これにより、処理ガス220および希釈ガス250が混合され、処理空間233において、希釈ガス250を含む処理ガス220が分散される。また、プレナム232は、必要に応じて、任意仕切り(図示されていない)を用いて仕切られ、プレナム232の選択された領域(例えば、周囲領域のみまたは中央領域のみ)のみが希釈ガス250を受容する。あるいは、希釈ガス250は、任意で、直接処理空間233に導入され、処理ガス220は、プレナム232から処理空間233に導入されても良い。
蒸気分配システム230は、成膜システムに結合されたハウジング236と、このハウジング236に結合するように構成された蒸気分散板231とを有し、これらが組み合わされて、プレナム232が形成される。蒸気分散板231は、複数の開口234を有し、この開口は、プレナム232内の処理ガス220を、処理空間233に導入するように配置される。任意の希釈ガス250は、例えば、Arのような不活性ガス、または前述の希釈ガスのいずれかを含む。また、蒸気分配システム230は、例えば、蒸気分散板231の近傍(ラベル247a参照)、または開口235の近傍(等別247b参照)に配置された、粒子拡散器を有する。
図5を参照すると、この図には、本発明の別の実施例による蒸気分配システム330が示されている。蒸気分配システム330は、プレナム332において、開口335を通る蒸気供給システム340からの処理ガス320を受容するように構成され、処理ガス320は、金属膜が形成される基板の近傍の処理空間333内に分配される。また、蒸気分配システムは、任意で、中間プレナム342において、希釈ガス源(図示されていない)からの希釈ガス350を受容するように構成され、これにより、中間プレナム342において、処理ガス320と希釈ガス350が混合され、その後、処理ガス320を有する希釈ガス350が処理空間333内に分配される。また、中間プレナム342は、任意で、追加仕切り(図示されていない)を用いて仕切られ、中間プレナム342の選択領域のみ(例えば周囲領域のみ、または中央領域のみ)が希釈ガス350を受容するようになる。
蒸気分配システム330は、成膜システムに結合するように構成されたハウジング336と、ハウジング336と結合するように構成された中間板341と、ハウジング336と結合するように構成された蒸気分散板331と、を有し、これらの組み合わせにより、図5に示すように、プレナム332および中間プレナム342が形成される。蒸気分散板331は、複数の開口334を有し、この開口は、中間プレナム332内の処理ガス320および任意の希釈ガス350が、処理空間333に導入されるように配置される。また、中間蒸気分散板341は、複数の開口344を有し、この開口は、プレナム332内の処理ガス320が、中間プレナム342に導入されるように配置される。中間蒸気分散板341内の複数の開口344は、蒸気分散板331の複数の開口334と整列するように配置されても良い。中間蒸気分散板341内の複数の開口344は、蒸気分散板331内の複数の開口334と整列していなくても良い。任意の希釈ガス350は、例えば、Arのような不活性ガス、または前述のいずれかの希釈ガスを含む。また、蒸気分配システム330は、粒子拡散器を有し、これは、例えば中間蒸気分散板341の近傍(ラベル347a参照)、または開口335の近傍(ラベル347b参照)に配置される。また、粒子拡散器(図示されていない)は、中間蒸気分散板341と蒸気分散板331の間の中間プレナム342の内部に配置されても良い。
図6を参照すると、この図には、本発明の別の実施例による蒸気分配システム430が示されている。蒸気分配システム430は、プレナム432において、開口435を介して、蒸気供給システム440からの処理ガス420を受容するように構成され、処理ガス420は、上部に金属膜が形成される基板の近傍の処理空間433に分配される。また、蒸気分配システムは、希釈ガス源(図示されていない)から、希釈ガス450を受容するように構成され、処理空間433内で希釈ガス450が分配される。
蒸気分配システム430は、成膜システムに結合するように構成されたハウジング436と、ハウジング436に結合されるように構成されたマルチガス蒸気分散板431とを有し、この組み合わせにより、プレナム432が形成される。マルチガス蒸気分散板431は、第1組の開口434を有し、この組は、プレナム432内の処理ガス420を処理空間433に導入するように配置される。また、マルチガス蒸気分散板431は、第2組の開口444を有し、この組は、マルチガス蒸気分散板431に埋設された中間プレナム442に結合され、中間プレナム442からの希釈ガス450を、処理空間433に導入するように構成される。希釈ガス450は、例えば、Arのような不活性ガス、または前述のいずれかの希釈ガスを含む。また、蒸気分配システム430は、粒子拡散器を有し、この粒子拡散器は、例えば、マルチガス蒸気分散板431の近傍(ラベル447a参照)、または開口435の近傍(ラベル447b参照)に配置される。
図7を参照すると、この図には、本発明の別の実施例による蒸気分配システム530が示されている。蒸気分配システム530は、プレナム532内で、開口535を介して、蒸気供給システム540からの処理ガス520を受容するように構成され、処理ガス520は、上部に金属膜が形成される基板の近傍の処理空間533内に分配される。また、蒸気分配システムは、希釈ガス源(図示されていない)から希釈ガス550を受容するように構成され、希釈ガス550は、処理空間533において、実質的に基板の周囲領域の上部の領域のような、第1の領域の近傍に分配される。また、蒸気分配システムは、希釈ガス源(図示されていない)から、第2の希釈ガス570を受容するように構成され、処理空間533において、基板の中央領域の実質的に上部の領域のような、第2の領域の近傍に、第2の希釈ガス570が分配される。
蒸気分配システム530は、成膜システムに結合されるように構成されたハウジング536と、ハウジング536と結合されるように構成されたマルチガス蒸気分散板531とを有し、この組み合わせにより、プレナム532が形成される。マルチガス蒸気分散板531は、第1組の開口534を有し、この組は、プレナム532内の処理ガス520を、処理空間533に導入するように配置される。また、マルチガス蒸気分散板531は、第2組の開口544を有し、この組は、マルチガス蒸気分散板531に埋設された中間プレナム542に結合され、中間プレナム542からの希釈ガス550を、処理空間533の第1の領域に導入するように構成される。また、マルチガス蒸気分散板531は、第3組の開口564を有し、この組は、マルチガス蒸気分散板531内に埋設された、第2の中間プレナム562に結合され、中間プレナム562からの第2の希釈ガス570を、処理空間533の第2の領域に導入するように構成される。希釈ガス550の流速および第2の希釈ガス570の流速は、基板上に成膜された金属膜の均一性の変化に影響を及ぼすように、相互に対して変化させても良い。希釈ガス550および第2の希釈ガス570は、例えば、Arのような不活性ガス、または前述の希釈ガスのいずれかを含む。また、蒸気分配システム530は、粒子拡散器を有し、この粒子拡散器は、例えば、マルチガス蒸気分散板531の近傍(ラベル547a参照)、または開口535の近傍(ラベル547b参照)に配置される。
図8を参照すると、この図には、本発明の別の実施例による蒸気分配システム630が示されている。蒸気分配システム630は、プレナム632において、開口635を通る蒸気供給システム640からの処理ガス620を受容するように構成され、上部に金属膜が形成される基板の近傍の処理空間633内に、処理ガス620が分配される。また、蒸気分配システムは、希釈ガス源(図示されていない)からの希釈ガス650を受容するように構成され、処理空間633内の、基板の周囲領域の実質的に上部の領域のような周囲領域の近傍に、希釈ガス650が分配される。
蒸気分配システム630は、成膜システムと結合するように構成されたハウジング636と、ハウジング636に結合するように構成された、マルチガス分散板631とを有し、この組み合わせにより、プレナム632が形成される。マルチガス蒸気分散板631は、第1組の開口634を有し、この組は、プレナム632内の処理ガス620を、処理空間633に導入するように配置される。また、マルチガス蒸気分散板631は、第2組の開口644を有し、この組は、マルチガス蒸気分散板631に埋設された、中間プレナム642に結合され、中間プレナム642からの希釈ガス650を、処理空間633の周囲領域に導入するように構成される。希釈ガス650は、例えば、Arのような不活性ガス、または前述の希釈ガスのいずれかを含む。また、蒸気分配システム630は、粒子拡散器を有し、この粒子拡散器は、例えば、マルチガス蒸気分散板631の近傍(ラベル647a参照)、または開口635の近傍(ラベル647b参照)に配置される。
図9を参照すると、この図には、膜前駆体蒸発システム900の実施例による断面図が示されている。膜前駆体蒸発システム900は、容器910を有し、この容器は、外壁912および底部壁914を有する。また、膜前駆体蒸発システム900は、容器910に密閉結合されるように構成された蓋部920を有し、蓋部920は、図1または2に示すような、薄膜成膜システムとに密閉結合されるように構成された出口922を有する。容器910と蓋部920は、薄膜成膜システムに結合された際に、密閉環境を形成する。
また、容器910は、膜前駆体蒸発システム900の蒸発温度を高めるため、ヒータ(図示されていない)と結合するように構成されるとともに、蒸発温度のモニタリング、調節または制御の少なくとも一つを実施するため、温度制御システム(図示されていない)と結合するように構成される。前述のように、蒸発温度が適正な値まで上昇すると、膜前駆体が蒸発(または昇華)して、膜前駆体蒸気が形成され、この蒸気は、蒸気供給システムを介して、薄膜成膜システムの方に輸送される。また容器910は、キャリアガス供給システム(図示されていない)と密閉結合され、容器910は、膜前駆体蒸気の搬送用のキャリアガスを受容するように構成される。
再度図9を参照すると、膜前駆体蒸発システム900は、さらに、ベーストレイ930を有し、このトレイは、容器910の底部914に載るように構成され、ベース外壁932を有し、この壁は、ベーストレイ930上に膜前駆体950を保持するように構成される。ベース外壁932は、ベース支持端部を有し、このベース支持端部は、以下に示すように、上部トレイを支持する。また、ベース外壁932は、1または2以上のベーストレイ開口934を有し、この開口は、キャリアガス供給システム(図示されていない)から、膜前駆体950の上部を通り、容器910の中央に向かってキャリアガスが流れるように構成され、キャリアガスは、中央フローチャネル918のような蒸発排気空間に沿って、蓋部920の出口922を通り、前駆体蒸気とともに排出される。そのため、ベーストレイ930内の膜前駆体のレベルは、ベーストレイ開口934の位置よりも低くする必要がある。
再度図9を参照すると、膜前駆体蒸発システム900は、さらに、1または2以上の積層可能な上部トレイ940を有し、この上部トレイは、膜前駆体950を支持するように構成され、ベーストレイ900または別の積層可能な上部トレイ940の少なくとも一つの上に、配置もしくは積層されるように構成される。各積層可能な上部トレイ940は、上部外壁942と、内壁944を有し、両者の間には、膜前駆体950が保持される。内壁944は、中央フローチャネル918を定形する。さらに上部外壁942は、上部支持端部993を有し、ここに追加の上部トレイ940が支持される。従って、第1の上部トレイ940は、ベーストレイ930のベース支持端部933に支持されるように配置され、必要な場合、1または2以上の追加上部トレイが、前の上部トレイ940の上部支持端部943に支持されるように配置される。各上部トレイ940の上部外壁942は、1または2以上の上部トレイ開口946を有し、この開口は、キャリアガス供給システム(図示されていない)から、膜前駆体950にわたり、容器910の中央フローチャネル918に向かって、キャリアガスが流れるように構成され、キャリアガスは、膜前駆体蒸気とともに、蓋部920の出口922を介して排出される。そのため、内壁944は、上部外壁942よりも短くして、キャリアガスが実質的に半径方向に沿って、中央フローチャネル918まで流れるようにする必要がある。また、各上部トレイ940の膜前駆体のレベルは、内壁942の高さと同等かそれよりも低い必要があり、上部トレイ開口946よりも低くする必要がある。
ベーストレイ930および積層可能な上部トレイ940は、円筒状に示されている。しかしながら、この形状は、変えても良い。例えば、トレイの形状は、長方形状、正方形状、または楕円状であっても良い。同様に、内壁944、およびさらには中央上部フローチャネル918は、異なる形状であっても良い。
1または2以上の積層可能な上部トレイ940がベーストレイ930上に積層されると、スタック970が形成され、このスタックにより、ベーストレイ930のベース外壁932と容器外壁912の間、および1または2以上の積層可能な上部トレイ940の上部外壁942と容器外壁912の間に、環状空間960のような周囲チャネルの形態の、キャリアガス供給空間が提供される。容器910は、さらに、1または2以上のスペーサ(図示されていない)を有し、このスペーサは、容器外壁912から、ベーストレイ930のベース外壁932、および1または2以上の積層可能な上部トレイ940の上部外壁942を離間するように構成され、これにより、環状空間960内に等しい空間が確保される。別の方法で表現すると、ある実施例では、容器910は、ベース外壁932および上部外壁942が縦方向に整列するように構成される。また、容器910は、1または2以上の熱接触部材(図示されていない)を有し、この部材は、容器910の内壁と各トレイの外壁の間に、機械的な接触を提供するように構成され、これにより、容器910の壁から、各それぞれのトレイへの熱エネルギーの伝達が助長される。
各トレイと隣接するトレイの間には、一つのトレイと隣のトレイの間に真空密閉を提供するため、Oリングのような密閉装置が配置されても良い。例えば、密閉装置は、上部外壁942の上部支持端部943と、ベース外壁932のベース支持端部933とに形成された、受容溝(図示されていない)に保持される。従って、容器910に一度トレイが導入されると、容器910と蓋部920の結合により、各密閉装置の押し付けが容易となる。密閉装置は、例えば、エラストマーOリングである。また、密閉装置は、例えば、バイトン(登録商標)Oリングであっても良い。
ベーストレイと積層可能な上部トレイの両方を含むトレイの数は、2から20の範囲であり、例えば、ある実施例では、図9に示すように、トレイの数は、5である。ある一実施例では、スタック970は、ベーストレイ930と、このベーストレイ930により支持された少なくとも一つの上部トレイ940とを有する。ベーストレイ930は、図9に示すものであっても良く、または図9に示すように、上部トレイ940と同じ構成を有しても良い。換言すれば、ベーストレイ930は、内壁を有しても良い。図9では、スタック970は、1または2以上の分離式の積層可能な上部トレイ940を有するベーストレイ930を備えるように示されているが、システムは、スタックを備える容器を有しても良く、これは、ベース外壁と上部外壁が一体化されたような、1または2以上の上部トレイと一体化されたベーストレイを有する、単一の一体品を有する。一体化には、トレイ同士の間に明確な境界がない一体成形構造のようなモノリシックな構造、および恒久的に接着された構造、もしくはトレイ同士の間に恒久接合のある機械的に接合された構造が含まれることを理解する必要がある。分離式には、トレイ同士が接合されていないか、接着材を用いたまたは機械的な一時的接合が含まれることを理解する必要がある。
積層可能または一体化のいずれにおいても、ベーストレイ930と各上部トレイ940は、膜前駆体950を支持するように構成される。ある実施例では、膜前駆体950は、固体前駆体を含む。別の実施例では、膜前駆体950は、液体前駆体を含む。別の実施例では、膜前駆体950は、金属前駆体を含む。別の実施例では、膜前駆体950は、固体金属前駆体を含む。さらに別の実施例では、膜前駆体950は、金属カルボニル前駆体を含む。さらに別の実施例では、膜前駆体950は、ルテニウムカルボニル前駆体、例えばRu3(CO)12を含んでも良い。本発明のさらに別の実施例では、膜前駆体950は、レニウムカルボニル前駆体、例えばRe2(CO)10を含んでも良い。さらに別の実施例では、膜前駆体350は、W(CO)6、Mo(CO)6、Co2(CO)8、Rh4(CO)12、Cr(CO)6、またはOs3(CO)12を含んでも良い。また、さらに別の実施例では、タンタル(Ta)の成膜の際、膜前駆体950は、TaF5、TaCl5、TaBr5、TaI5、Ta(CO)5、Ta[N(C2H5CH3)]5(PEMAT)、Ta[N(CH3)2]5(PDMAT)、Ta[N(C2H5)2]5(PDEAT)、Ta(NC(CH3)3)(N(C2H5)2)3(TBTDET)、Ta(NC2H5)(N(C2H5)2)3、Ta(NC(CH3)2C2H5)(N(CH3)2)3、Ta(NC(CH3)3)(N(CH3)2)3、またはTa(EtCp)2(CO)Hを含んでも良い。また、さらに別の実施例では、チタン(Ti)を成膜する際、膜前駆体950は、TiF4、TiCl4、TiBr4、TiI4、Ti[N(C2H5CH3)]4(TEMAT)、Ti[N(CH3)2]4(TDMAT)、またはTi[N(C2H5)2]4(TDEAT)を含んでも良い。また、さらに別の実施例では、ルテニウム(Ru)を成膜する際には、膜前駆体950は、Ru(C5H5)2、Ru(C2H5C5H4)2、Ru(C3H7C5H4)2、Ru(CH3C5H4)2、Ru3(CO)12、C5H4Ru(CO)3、RuCl3、Ru(C11H19O2)3、Ru(C8H13O2)3、またはRu(C5H7O)3を含んでも良い。
前述のように、膜前駆体950は、固体前駆体を含んでも良い。固体前駆体は、固体粉末の形態であっても良く、あるいは1または2以上の固体タブレットの形態であっても良い。例えば、1または2の固体タブレットは、多くの処理プロセスにより調製されても良く、これには、焼結処理プロセス、スタンプ処理プロセス、浸漬処理プロセス、またはスピンオン処理プロセス、またはこれらのいかなる組み合わせをも含まれる。また、固体タブレット形態の固体前駆体は、ベーストレイ330または上部トレイ340に接着されても、されなくても良い。例えば、真空および不活性ガスの両方の雰囲気に調整された焼結炉内で、最大2000℃および2500℃の温度で、耐熱金属粉末を焼結させても良い。あるいは、例えば、流体媒体中に耐熱金属粉末を分散させて、これをトレイ上に分配し、スピンコート処理プロセスを用いて、トレイ表面に均一に分散させても良い。その後、耐熱金属のスピンコートは、熱硬化されても良い。
前述のように、キャリアガスは、キャリアガス供給システム(図示されていない)から容器910に供給される。図9に示すように、キャリアガスは、蓋部920に密閉結合されたガス供給ライン(図示されていない)を通り、蓋部920を介して容器910に結合されても良い。ガス供給ラインは、ガスチャネル980を備え、これは、容器910の外壁912を介して下流に延伸し、容器910の底部914を通り、環状空間960まで流通している。
あるいは、キャリアガスは、蓋部920の開口(図示されていない)を介して、膜前駆体蒸発システム900の容器910に結合されても良く、この場合、ガスは、環状空間960に直接供給される。あるいは、キャリアガスは、外壁912内の開口(図示されていない)を介して、膜前駆体蒸発システム900の容器910に結合され、この場合、ガスは、環状空間960に直接供給される。
再度図9を参照すると、膜前駆体蒸発システム950は、1または2以上の粒子拡散器(947a、947b、947c)を有する。例えば、粒子拡散器は、膜前駆体950の近傍(ラベル947a参照)、各トレイ940の内壁944の近傍(ラベル947b参照)、または膜前駆体蒸発システム900の出口922の近傍(ラベル947c参照)に配置されても良い。図9には、3つの配置しか示されていないが、これは、粒子発生部と出口922の間の可能性のある流経路に沿って延在する、膜前駆体蒸発システム900内のいかなる位置に配置されても良い。
ある実施例では、粒子拡散器(947a、947b、947c)は、予め特定された寸法の粒子の通過を最小化するのに十分な構造を有する。別の実施例では、粒子拡散器(947a、947b、947c)は、拡散器を通過する粒子を小片に分解するのに十分な構造を有する。さらに別の実施例では、粒子拡散器(947a、947b、947c)は、拡散器を通る前駆体蒸気の流れに対する抵抗を最小化する(すなわち、粒子拡散器を通るフローコンダクタンスを最大化する)ように設計されるとともに、粒子軌道と交差する追加表面領域が提供され、これにより、粒子が分解し、粒子の小片が再蒸発する。例えば、粒子拡散器(947a、947b、947c)は、スクリーンまたはメッシュを有しても良い。また、例えば、粒子拡散器(947a、947b、947c)は、ハニカム構造を有しても良い。ハニカム構造とすることにより、全流通領域を最大化する拡散器の設計が可能となる上、各ハニカムセルの直径および長さを、濡れ表面積が最大となるように選択することが可能になる。
図10を参照すると、この図には、薄膜成膜システム用に提供される、粒子量低減の一例が示されている。図では、膜前駆体としてルテニウムカルボニルを用いて、ルテニウム(Ru)膜が成膜される。ある場合(図10の実線で示されている)には、粒子拡散器は、利用されず、流れ条件は、蒸気分配プレナムと処理空間の間の圧力差を、約10mTorrから約50mTorrまで変化させることにより、調節される。圧力差が減少すると、処理基板上に見られる粒子(0.16ミクロン以上)の数が減少する。別の場合(図10の破線で示されている)、粒子拡散器が蒸気分配システムへの入口に配置される(例えば、図1の位置47b、図2の位置147b)。圧力差が低下すると、処理基板上に見られる粒子数(0.16ミクロン以上)は、減少する。しかしながら、粒子拡散器を使用した場合、データ(曲線)は、下側(すなわち、低粒子カウント数側)にシフトする。
例えば、圧力差(ΔP=P1−P2)は、約50mTorr以下となるように選定される。あるいは、例えば、圧力差(ΔP=P1−P2)は、約30mTorr以下となるように選定される。あるいは、例えば、圧力差(ΔP=P1−P2)は、約20mTorr以下となるように選定される。あるいは、例えば、圧力差(ΔP=P1−P2)は、約10mTorr以下となるように選定される。あるいは、例えば、圧力比(P1/P2)は、約2以下となるように選定される。
図11には、本発明の実施例による、基板上に金属層を成膜する方法を示す。方法700は、ステップ710において、成膜システムの処理チャンバの処理空間内に、基板を提供するステップを有する。例えば、成膜システムは、前述の図1および2に示したような成膜システムを有する。基板は、例えば、Si基板であっても良い。Si基板は、n型またはp型であっても良く、これは、形成される装置の種類に依存する。基板は、いかなる寸法であっても良く、例えば、200mm基板、300mm基板、またはより大きな基板であっても良い。本発明の実施例では、基板は、1もしくは2以上のビア、溝またはこれらの組み合わせを有する、パターン化された基板である。ステップ720では、金属カルボニル前駆体蒸気と、COガスとを含む処理ガスが形成される。処理ガスは、さらにキャリアガスを含んでも良い。前述のように、ある実施例では、金属カルボニル前駆体は、例えばRu3(CO)12のような、ルテニウムカルボニル前駆体である。金属カルボニル前駆体蒸気へのCOガスの添加により、金属カルボニル前駆体の蒸発温度が上昇する。温度上昇により、金属カルボニル前駆体の蒸気圧力が増加し、その結果、処理チャンバへの金属カルボニル前駆体の供給が増加し、さらには基板上の金属の成膜速度が向上する。処理ガスは、蒸気分配プレナムに輸送され、処理ガスは、基板と隣接する処理空間に分配され、導入される。
本発明の実施例では、処理ガスは、金属カルボニル前駆体を加熱することにより形成され、金属カルボニル前駆体蒸気が形成され、この金属カルボニル前駆体蒸気は、COガスと混合される。本発明の実施例では、COガスは、金属カルボニル前駆体の下流側で、金属カルボニル前駆体蒸気と混合される。本発明の別の実施例では、金属カルボニル前駆体の上部に、または金属カルボニル前駆体を介して、COガスを流すことにより、COガスが金属カルボニル前駆体蒸気と混合される。本発明のさらに別の実施例では、処理ガスは、固体金属カルボニル前駆体の上部に、または固体金属カルボニル前駆体を介して、キャリアガスを流すことにより、追加形成される。
ステップ730では、成膜システムを通る処理ガスの流経路に、粒子拡散器が設置される。例えば、膜前駆体蒸発システム、蒸気供給システム、蒸気分配システム、またはこれらの2以上の内部に、1もしくは2以上の粒子拡散器が配置される。
ステップ740では、蒸気供給システム、蒸気分配システム、もしくはその両方の構成、または流れ条件が、蒸気分配プレナムと処理空間の間の圧力差(または圧力比)を抑制するように調整され、これにより、基板上の粒子の形成が抑制される。
ステップ750では、処理ガスに基板が暴露され、熱化学気相成膜処理により、基板上に金属層が成膜される。本発明の実施例では、金属層は、約50℃から約500℃の間の基板温度で成膜されても良い。あるいは、基板温度は、約300℃から約400℃の間であっても良い。
図11のフローチャートの各ステップまたは段階は、1もしくは2以上の分離ステップおよび/または操作を包含しても良いことは、当業者には明らかである。従って、5つのステップ710、720、730、740、750のみが記載されていることから、本発明による方法が、単に4つのステップまたは段階に限定されると解してはならない。また、各代表的なステップまたは段階710、720、730、740、750は、単一の処理に限定されると解してはならない。
図12A乃至12Cには、本発明の実施例による、パターン化基板上の金属層の形成が概略的に示されている。本発明の実施例が、1もしくは2以上のビア、溝またはこれらの組み合わせを有するパターン化基板に適用され得ることは、当業者には、容易に理解できる。図12Aには、本発明の実施例による、パターン化基板800上の金属層840の成膜が示されている。パターン化構造800は、第1の金属層810と、開口830を有するパターン化層820とを有する。パターン化層820は、例えば、誘電体材料であっても良い。開口830は、例えば、ビアまたは溝であり、金属層840は、例えばRu金属を含んでも良い。
図12Bには、本発明の実施例による、パターン化構造802への金属層860の成膜が概略的に示されている。パターン化構造802は、第1の金属層810と、開口830を含むパターン化層820とを有する。パターン化構造802の上には、バリア層850が成膜され、金属層860は、バリア層850上に成膜される。バリア層850は、例えば、タンタル含有材料(例えばTa、TaN、TaCN、またはこれらの2以上の組み合わせ)、またはタングステン材料(例えば、W、WN)を含んでも良い。パターン化層820は、例えば、誘電体材料であっても良い。開口830は、例えば、ビアまたは溝であり、金属層860は、例えば、Ru金属を含む。図12Cには、図12Bの開口830内のCu870の成膜が概略的に示されている。
以上、本発明のある一例の実施例についてのみ詳細に説明したが、一例の実施例において、本発明の実質的に新たな教示および利点から逸脱しないで、多くの変更が可能であることは、当業者には容易に理解される。従って、全てのそのような変更は、本発明の範囲に含まれるものである。
本発明のある実施例による成膜システムを概略的に示した図である。 本発明の別の実施例による成膜システムを概略的に示した図である。 本発明の一実施例による蒸気分配システムを示した図である。 本発明の別の実施例による蒸気分配システムを示した図である。 本発明の別の実施例による蒸気分配システムを示した図である。 本発明の別の実施例による蒸気分配システムを示した図である。 本発明の別の実施例による蒸気分配システムを示した図である。 本発明の別の実施例による蒸気分配システムを示した図である。 本発明の別の実施例による膜前駆体蒸発システムを示した図である。 蒸気分配システムにおける粒子コンタミネーションと圧力変化の間の関係を説明する一例としてのデータを示した図である。 本発明のある実施例による、基板上に金属層を成膜する方法を示した図である。 本発明のある実施例による、パターン化基板上への金属層の形成を概略的に示した図である。 本発明のある実施例による、パターン化基板上への金属層の形成を概略的に示した図である。 本発明のある実施例による、パターン化基板上への金属層の形成を概略的に示した図である。

Claims (20)

  1. 基板上に耐熱金属膜を形成する成膜システムであって、
    前記基板を支持し、該基板を加熱するように構成された基板ホルダ、前記基板の上部に金属前駆体蒸気を導入するように構成された蒸気分配システム、および前記処理チャンバを排気するように構成された排気システムを有する処理チャンバと、
    金属前駆体を蒸発させ、金属前駆体蒸気を形成するように構成された、金属前駆体蒸発システムと、
    前記金属前駆体蒸発システムに結合された第1の端部、および前記処理チャンバの前記蒸気分配システムの入口に結合された第2の端部を有する蒸気供給システムと、
    前記金属前駆体蒸発システム、前記蒸気供給システム、およびその両方のうちの少なくとも一つに結合され、キャリアガスを供給するように構成されたキャリアガス供給システムであって、前記蒸気分配システムの前記入口に、前記蒸気供給システムを介して、前記キャリアガス中に前記金属前駆体蒸気を搬送するキャリアガス供給システムと、
    前記キャリアガスおよび前記金属前駆体蒸気の流経路に沿って、当該成膜システムの内部に配置された、1または2以上の粒子拡散器と、
    を有する成膜システム。
  2. 前記1または2以上の粒子拡散器は、前記金属前駆体蒸発システム、前記蒸気供給システム、前記蒸気分配システム、またはこれらの2もしくは3以上の内部に配置されることを特徴とする請求項1に記載の成膜システム。
  3. 前記金属前駆体蒸発システムは、前記金属前駆体を支持するように構成された1または2以上の前駆体トレイを有し、
    前記1または2以上の粒子拡散器は、前記金属前駆体の上部の、前記1または2以上の前駆体トレイの少なくとも一つに結合されることを特徴とする請求項1に記載の成膜システム。
  4. 前記1または2以上の粒子拡散器は、前記金属前駆体蒸発システムの出口に結合されることを特徴とする請求項1に記載の成膜システム。
  5. 前記金属前駆体蒸発システムは、前記金属前駆体を支持するように構成された、1または2以上の前駆体トレイを有し、
    前記1または2以上の粒子拡散器は、前記1または2以上の前駆体トレイの少なくとも一つの出口に結合されることを特徴とする請求項1に記載の成膜システム。
  6. 前記1または2以上の粒子拡散器は、前記蒸気供給システムの前記第1の端部、前記蒸気供給システムの前記第2の端部、または両者の間のいずれかの位置に結合されることを特徴とする請求項1に記載の成膜システム。
  7. 前記蒸気分配システムは、前記蒸気供給システムの前記第2の端部に結合された前記入口を有するハウジングと、前記ハウジングに結合された蒸気分散板とを有し、
    前記ハウジングと前記蒸気分散板の組み合わせにより、前記キャリアガスと、前記金属前駆体蒸気とを受容するプレナムが形成され、
    前記キャリアガスおよび前記金属前駆体蒸気は、前記蒸気分散板の1または2以上の開口を介して、前記処理チャンバ内で分配され、
    前記1または2以上の粒子拡散器は、前記プレナム内に配置されることを特徴とする請求項1に記載の成膜システム。
  8. 前記1または2以上の粒子拡散器は、メッシュまたはスクリーンを有することを特徴とする請求項1に記載の成膜システム。
  9. 前記1または2以上の粒子拡散器は、ハニカム構造を有することを特徴とする請求項1に記載の成膜システム。
  10. 前記ハニカム構造は、1または2以上のハニカムセルを有し、
    各セルは、有効な直径および全長により特徴化されることを特徴とする請求項9に記載の成膜システム。
  11. 前記1または2以上の粒子拡散器の各々は、1または2以上の開口を有し、
    これにより、前記キャリアガスおよび前記金属前駆体蒸気の流通が可能となり、
    前記1または2以上の開口は、前記流経路と実質的に整列されていることを特徴とする請求項1に記載の成膜システム。
  12. 前記1または2以上の粒子拡散器の各々は、1または2以上の開口を有し、
    これにより、前記キャリアガスおよび前記金属前駆体蒸気の流通が可能となり、
    前記1または2以上の開口は、前記流経路に対して傾斜され、または湾曲していることを特徴とする請求項1に記載の成膜システム。
  13. 前記金属前駆体は、金属カルボニルを含むことを特徴とする請求項1に記載の成膜システム。
  14. 前記金属カルボニル前駆体は、タングステンカルボニル、モリブデンカルボニル、コバルトカルボニル、ロジウムカルボニル、レニウムカルボニル、クロムカルボニル、ルテニウムカルボニル、オスミウムカルボニル、またはこれらの2もしくは3以上の組み合わせを含むことを特徴とする請求項13に記載の成膜システム。
  15. 前記金属カルボニル前駆体は、W(CO)6、Mo(CO)6、Co2(CO)8、Rh4(CO)12、Re2(CO)10、Cr(CO)6、Ru3(CO)12、Os3(CO)12、またはこれらの2もしくは3以上の組み合わせを含むことを特徴とする請求項13に記載の成膜システム。
  16. 薄膜成膜システムに結合されるように構成された膜前駆体蒸発システムであって、
    前記薄膜成膜システムと密閉結合されるように構成された出口、およびキャリアガス供給システムと密閉結合されるように構成された入口を有する容器と、
    前記容器内に受容されるように構成された、1または2以上のトレイを有するトレイスタックであって、前記1または2以上のトレイの各々内の金属前駆体材料を支持し、蒸発させ、金属前駆体蒸気が形成されるように構成されたトレイスタックと、
    前記キャリアガス供給システムからのキャリアガスの流経路内、および前記容器の前記入口と前記出口の間の金属前駆体蒸気の流経路内に配置された、1または2以上の粒子拡散器と、
    を有する膜前駆体蒸発システム。
  17. 前記容器は、前記入口を介した前記キャリアガスの流れを受容するように構成されたキャリアガス供給空間を有し、これにより、
    1または2以上のトレイの各々内の1または2以上のオリフィスを介して、前記1または2以上のトレイ内の前記前駆体材料に、前記キャリアガスの前記流れの一部が導入され、
    前記前駆体材料の上部での前記キャリアガスの前記流れの前記一部の各々は、前記前駆体蒸気とともに、前記出口に空気圧結合された蒸発排気空間内で共同で受容されることを特徴とする請求項16に記載の膜前駆体蒸発システム。
  18. 前記1または2以上の粒子拡散器は、前記出口に、または前記1もしくは2以上のトレイの各々に結合されることを特徴とする請求項16に記載の膜前駆体蒸発システム。
  19. 前記1または2以上の粒子拡散器は、ハニカム構造を有することを特徴とする請求項16に記載の膜前駆体蒸発システム。
  20. 基板上に金属層を成膜する方法であって、
    当該方法は、
    成膜システムの処理チャンバ内に、基板を提供するステップと、
    金属カルボニル前駆体蒸気およびCOガスを含む処理ガスを形成するステップと、
    前記処理ガスを、前記処理チャンバに導入するステップと、
    前記基板の粒子コンタミネーションを抑制するため、前記成膜システムの内部に、1または2以上の粒子拡散器を配置するステップと、
    前記希釈された処理ガスに前記基板を暴露して、気相成膜処理プロセスにより、前記基板上に金属層を成膜するステップと、
    を有する方法。
JP2009500550A 2006-03-16 2007-03-05 成膜システム内の粒子コンタミネーションを抑制する方法および機器 Active JP5566100B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/377,920 2006-03-16
US11/377,920 US8268078B2 (en) 2006-03-16 2006-03-16 Method and apparatus for reducing particle contamination in a deposition system
PCT/US2007/063285 WO2007109410A2 (en) 2006-03-16 2007-03-05 Method and apparatus for reducing particle contamination in a deposition system

Publications (2)

Publication Number Publication Date
JP2009530494A true JP2009530494A (ja) 2009-08-27
JP5566100B2 JP5566100B2 (ja) 2014-08-06

Family

ID=38432900

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009500550A Active JP5566100B2 (ja) 2006-03-16 2007-03-05 成膜システム内の粒子コンタミネーションを抑制する方法および機器

Country Status (5)

Country Link
US (1) US8268078B2 (ja)
JP (1) JP5566100B2 (ja)
KR (1) KR101372793B1 (ja)
CN (1) CN101405433B (ja)
WO (1) WO2007109410A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012174844A (ja) * 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法、成膜装置、半導体装置及びその製造方法
US10669621B2 (en) 2016-08-24 2020-06-02 Toshiba Memory Corporation Vaporization system
JP7467566B2 (ja) 2019-02-07 2024-04-15 キオクシア株式会社 半導体製造装置

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7345184B2 (en) * 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US20100071614A1 (en) * 2008-09-22 2010-03-25 Momentive Performance Materials, Inc. Fluid distribution apparatus and method of forming the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
WO2011004867A1 (ja) * 2009-07-10 2011-01-13 日立金属株式会社 R-Fe-B系希土類焼結磁石の製造方法および蒸気制御部材
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US20120325942A1 (en) * 2011-06-27 2012-12-27 General Electric Company Jet milling of boron powder using inert gases to meet purity requirements
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9951423B2 (en) * 2014-10-07 2018-04-24 Lam Research Corporation Systems and methods for measuring entrained vapor
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6821327B2 (ja) * 2015-05-22 2021-01-27 ラム リサーチ コーポレーションLam Research Corporation オンデマンド充填アンプルの補充
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
CN107779840A (zh) * 2016-08-25 2018-03-09 杭州纤纳光电科技有限公司 一种钙钛矿薄膜的蒸发设备及其使用方法和应用
JP6987402B2 (ja) * 2016-08-31 2022-01-05 ワン サイエンティフィック,インコーポレイテッド 水の水素および酸素への変換を介して電力を発生させるためのシステム、装置および方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000119856A (ja) * 1998-10-13 2000-04-25 Central Glass Co Ltd Cvd法による被膜形成法およびその装置
JP2001059178A (ja) * 1999-08-20 2001-03-06 Pioneer Electronic Corp 化学気相成長法における原料供給装置及び原料供給方法
JP2003264185A (ja) * 2002-03-11 2003-09-19 Japan Pionics Co Ltd 気化供給方法
JP2003332243A (ja) * 2002-05-13 2003-11-21 Japan Pionics Co Ltd 気化器及び気化供給装置
JP2004211183A (ja) * 2003-01-07 2004-07-29 Shimadzu Corp 気化器
JP2004273693A (ja) * 2003-03-07 2004-09-30 Ulvac Japan Ltd 薄膜製造装置及び薄膜製造方法
JP2004273764A (ja) * 2003-03-07 2004-09-30 Tokyo Electron Ltd タングステン膜の形成方法
WO2004111297A1 (ja) * 2003-06-10 2004-12-23 Tokyo Electron Limited 処理ガス供給機構、成膜装置および成膜方法
JP2005054252A (ja) * 2003-08-06 2005-03-03 Ulvac Japan Ltd 薄膜製造装置及び製造方法
WO2005034224A1 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
WO2005033357A2 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
WO2005034223A1 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
JP2005109349A (ja) * 2003-10-01 2005-04-21 Tokyo Electron Ltd 気化器及び成膜装置
GB2415967A (en) * 2002-09-11 2006-01-11 Planar Systems Inc Precursor delivery system for atomic layer deposition
WO2006057710A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited A solid precursor delivery system comprising replaceable stackable trays
US20060112882A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
JP2007247062A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd パーティクルの形成を低減する金属層成膜システム、気相原料分配システムおよび方法
JP4960720B2 (ja) * 2006-02-10 2012-06-27 東京エレクトロン株式会社 膜前駆体蒸発システムにおいて使用される膜前駆体のトレーおよびその使用方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6415936A (en) * 1987-07-10 1989-01-19 Nec Corp Production device for semiconductor device
TW489827U (en) * 1998-04-09 2002-06-01 Kobe Steel Ltd Apparatus for high-temperature and high-pressure treatment of semiconductor substrates
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
TW510807B (en) * 1999-08-31 2002-11-21 Kobe Steel Ltd Pressure processing device
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
JP2003338492A (ja) * 2002-05-21 2003-11-28 Tokyo Electron Ltd プラズマ処理装置
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7178750B2 (en) * 2004-04-01 2007-02-20 The Regents Of The University Of Califfornia Inline evenflow material distributor for pneumatic material feed systems
KR100663351B1 (ko) * 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
JP2008003338A (ja) 2006-06-23 2008-01-10 Hitachi Ltd データ処理装置、及びデータ処理プログラム

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000119856A (ja) * 1998-10-13 2000-04-25 Central Glass Co Ltd Cvd法による被膜形成法およびその装置
JP2001059178A (ja) * 1999-08-20 2001-03-06 Pioneer Electronic Corp 化学気相成長法における原料供給装置及び原料供給方法
JP2003264185A (ja) * 2002-03-11 2003-09-19 Japan Pionics Co Ltd 気化供給方法
JP2003332243A (ja) * 2002-05-13 2003-11-21 Japan Pionics Co Ltd 気化器及び気化供給装置
GB2415967A (en) * 2002-09-11 2006-01-11 Planar Systems Inc Precursor delivery system for atomic layer deposition
JP2004211183A (ja) * 2003-01-07 2004-07-29 Shimadzu Corp 気化器
JP2004273693A (ja) * 2003-03-07 2004-09-30 Ulvac Japan Ltd 薄膜製造装置及び薄膜製造方法
JP2004273764A (ja) * 2003-03-07 2004-09-30 Tokyo Electron Ltd タングステン膜の形成方法
WO2004111297A1 (ja) * 2003-06-10 2004-12-23 Tokyo Electron Limited 処理ガス供給機構、成膜装置および成膜方法
JP2005054252A (ja) * 2003-08-06 2005-03-03 Ulvac Japan Ltd 薄膜製造装置及び製造方法
WO2005033357A2 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
WO2005034223A1 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
WO2005034224A1 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
JP2005109349A (ja) * 2003-10-01 2005-04-21 Tokyo Electron Ltd 気化器及び成膜装置
WO2006057710A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited A solid precursor delivery system comprising replaceable stackable trays
US20060112882A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
WO2006058310A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
JP5015002B2 (ja) * 2004-11-29 2012-08-29 東京エレクトロン株式会社 マルチトレイの膜用前駆体気化システム、及び、該システムを内蔵する薄膜成膜システム
JP4960720B2 (ja) * 2006-02-10 2012-06-27 東京エレクトロン株式会社 膜前駆体蒸発システムにおいて使用される膜前駆体のトレーおよびその使用方法
JP2007247062A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd パーティクルの形成を低減する金属層成膜システム、気相原料分配システムおよび方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012174844A (ja) * 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法、成膜装置、半導体装置及びその製造方法
US10669621B2 (en) 2016-08-24 2020-06-02 Toshiba Memory Corporation Vaporization system
JP7467566B2 (ja) 2019-02-07 2024-04-15 キオクシア株式会社 半導体製造装置

Also Published As

Publication number Publication date
KR20080106578A (ko) 2008-12-08
JP5566100B2 (ja) 2014-08-06
CN101405433B (zh) 2012-02-22
US20070215048A1 (en) 2007-09-20
WO2007109410A2 (en) 2007-09-27
US8268078B2 (en) 2012-09-18
WO2007109410A3 (en) 2007-11-15
CN101405433A (zh) 2009-04-08
KR101372793B1 (ko) 2014-03-14

Similar Documents

Publication Publication Date Title
JP5566100B2 (ja) 成膜システム内の粒子コンタミネーションを抑制する方法および機器
US20070218200A1 (en) Method and apparatus for reducing particle formation in a vapor distribution system
KR101289559B1 (ko) 막 전구체 증발 시스템과 그 사용 방법
KR101178984B1 (ko) Ru 금속 층을 기판에 증착하는 방법 및 전구체 이송 방법
KR101271895B1 (ko) 금속 층을 기판에 증착하는 방법, Ru 금속 층을 패턴화된 기판에 증착하는 방법 및 증착 시스템
JP5015002B2 (ja) マルチトレイの膜用前駆体気化システム、及び、該システムを内蔵する薄膜成膜システム
US7708835B2 (en) Film precursor tray for use in a film precursor evaporation system and method of using
US7892358B2 (en) System for introducing a precursor gas to a vapor deposition system
US20070234955A1 (en) Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
JP4960720B2 (ja) 膜前駆体蒸発システムにおいて使用される膜前駆体のトレーおよびその使用方法
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US7763311B2 (en) Method for heating a substrate prior to a vapor deposition process
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system
US20070231489A1 (en) Method for introducing a precursor gas to a vapor deposition system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131209

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140318

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140610

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140617

R150 Certificate of patent or registration of utility model

Ref document number: 5566100

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250