JP2009038072A - 半導体集積回路及びその開発方法 - Google Patents

半導体集積回路及びその開発方法 Download PDF

Info

Publication number
JP2009038072A
JP2009038072A JP2007198617A JP2007198617A JP2009038072A JP 2009038072 A JP2009038072 A JP 2009038072A JP 2007198617 A JP2007198617 A JP 2007198617A JP 2007198617 A JP2007198617 A JP 2007198617A JP 2009038072 A JP2009038072 A JP 2009038072A
Authority
JP
Japan
Prior art keywords
scan
cell
terminal
dummy block
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007198617A
Other languages
English (en)
Inventor
Kazuyuki Irie
和幸 入江
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2007198617A priority Critical patent/JP2009038072A/ja
Priority to US12/219,742 priority patent/US7919981B2/en
Priority to TW097128894A priority patent/TW200925919A/zh
Publication of JP2009038072A publication Critical patent/JP2009038072A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/35Delay-insensitive circuit design, e.g. asynchronous or self-timed

Abstract

【課題】チップ資源を浪費することなく、消費電力を低減すること。
【解決手段】半導体集積回路100は、スキャンチェーン140と、スキャンチェーン140上に形成されたスキャンフリップフロップ110と、スキャンチェーン140上に形成されたダミーブロック120と、を備える。ダミーブロック120は、クロック信号CLKが入力されるクロック端子D4と、スキャンチェーン140に接続されたスキャン入力端子D2と、スキャンチェーン140に接続されたスキャン出力端子D5と、を有する。ダミーブロック120は、クロック信号CLKに依存すること無く、スキャン入力端子D2に入力される入力データに応じたデータをスキャン出力端子D5に出力する。
【選択図】図10

Description

本発明は、半導体集積回路及びその開発方法に関する。特に、本発明は、スキャンフリップフロップを備える半導体集積回路及びその開発方法に関する。
半導体集積回路の製造後、製品中に遅延故障(delay fault)や縮退故障(stuck-at fault)が発生しているか否かを確認するためにテストを行なう必要がある。そのテスト時のテスタビリティを高めることができるテスト回路を、設計段階で予め組み込んでおく設計技術が知られている。そのような設計技術は、「テスト容易化設計(DFT: Design For Testability)」と呼ばれている。
テスト容易化設計の一手法として、スキャン設計が知られている。スキャン設計によれば、設計回路内のフリップフロップの全て又は一部が、スキャンフリップフロップに置き換えられる。テスト時、それらスキャンフリップフロップは、あるスキャンチェーン(スキャンパス)を構成することができる。そのスキャンパスを通してテストパタンを入力及び出力することにより、スキャンテストが行なわれる。
また、半導体集積回路の開発途中あるいは開発終了後に、設計回路の機能修正を行う必要がでてくる場合がある。機能修正を短期間で実現するために、機能修正用のダミーセルを設計回路にあらかじめ配置しておく技術が知られている(例えば、特許文献1参照)。ダミーセルは、当初の設計回路には不要な予備的なセルであるが、機能修正が必要とされた場合には、その機能修正を実現するために使用される。
特許文献2には、スキャンフリップフロップセルに加えて、機能修正用の予備的なスキャンフリップフロップセルをあらかじめ配置しておく技術が記載されている。予備的なスキャンフリップフロップセルは、通常のスキャンフリップフロップセルと同じ機能を有しており、機能修正が必要とされた場合に設計回路に組み込まれる。この機能修正の段階で、予備的なスキャンフリップフロップセルにクロック配線を接続すると、クロック供給のバランスが崩れてしまう。そこで、当該関連技術によれば、通常のスキャンフリップフロップセルと予備的なスキャンフリップフロップセルの両方が、クロックツリーシンセシスの対象となる。そして、レイアウト段階において、クロックツリー回路が、通常のスキャンフリップフロップセルと予備的なスキャンフリップフロップセルの両方に接続される。その結果、タイミングが調整されたクロック信号が、クロックツリー回路を通して、通常のスキャンフリップフロップセルと予備的なスキャンフリップフロップセルの両方に供給される。
特開2005−322694号公報 特開2006−128635号公報
本願発明者は、次の点に着目した。上述の特許文献2に記載された技術によれば、クロック信号が、通常のスキャンフリップフロップだけでなく、予備的なスキャンフリップフロップにも供給される。そのため、製品チップの動作時、残っている予備的なスキャンフリップフロップもクロック信号に応答して動作してしまう。このことは、無駄な電力消費を意味する。
消費電力を低減するために、予備的なスキャンフリップフロップの前段にクロックゲーティング回路を別途設けることも考えられる。そのクロックゲーティング回路は、予備的なスキャンフリップフロップへのクロック供給を、制御信号に応答して停止させる。これにより、製品チップの動作時の無駄な電力消費を抑制することができる。しかしながら、別途設けられるクロックゲーティング回路はチップ資源を消費し、このことはチップ面積の増大を招く。
以下に、[発明を実施するための最良の形態]で使用される番号・符号を用いて、[課題を解決するための手段]を説明する。これらの番号・符号は、[特許請求の範囲]の記載と[発明を実施するための最良の形態]との対応関係を明らかにするために括弧付きで付加されたものである。ただし、それらの番号・符号を、[特許請求の範囲]に記載されている発明の技術的範囲の解釈に用いてはならない。
本発明の一実施の形態では、スキャンフリップフロップセル(10)とダミーブロックセル(20’)が用いられる。ダミーブロックセル(20’)は、設計回路の機能修正が必要とされた場合に、スキャンフリップフロップセル(10)で置換される予備的なセルである。そのダミーブロックセル(20’)は、クロック信号(CLK)が入力されるクロック端子(D4)と、スキャンチェーン(40)に接続されるスキャン入力端子(D2)と、スキャンチェーン(40)に接続されるスキャン出力端子(D5)と、を少なくとも有する。そのダミーブロックセル(20’)は、クロック信号(CLK)に依存すること無く、スキャン入力端子(D2)に入力される入力データに応じたデータをスキャン出力端子(D5)に出力するように構成される。
このようなダミーブロックセル(20’)を用いた、半導体集積回路の開発方法は、次の通りである。まず、スキャンフリップフロップセル(10)と上記ダミーブロックセル(20’)を含むセル群が配置される。次に、それらスキャンフリップフロップセル(10)とダミーブロックセル(20’)に対して、クロックツリーシンセシスが実施される。その後、スキャンフリップフロップセル(10)とダミーブロックセル(20’)を含むスキャンチェーン(40)が構築されるように、セル間の配線が行われる。設計回路の機能修正が必要な場合、ダミーブロックセル(20’)は、スキャンフリップフロップセル(10)で置換される。
このような開発方法の結果得られる半導体集積回路(100)は、次のような特徴を有する。すなわち、半導体集積回路(100)は、スキャンチェーン(140)と、スキャンチェーン(140)上に形成されたスキャンフリップフロップ(110)と、スキャンチェーン(140)上に形成されたダミーブロック(120)と、を備える。ダミーブロック(120)は、クロック信号(CLK)が入力されるクロック端子(D4)と、スキャンチェーン(140)に接続されたスキャン入力端子(D2)と、スキャンチェーン(140)に接続されたスキャン出力端子(D5)と、を有する。ダミーブロック(120)は、クロック信号(CLK)に依存すること無く、スキャン入力端子(D2)に入力される入力データに応じたデータをスキャン出力端子(D5)に出力する。
このように、製品チップ上に残っている予備的なダミーブロック(120)は、クロック信号(CLK)を受け取るが、そのクロック信号(CLK)に依存すること無く動作する。従って、通常のスキャンフリップフロップセルと同じ構成を有するダミーセルが用いられる場合と比較して、ダミーブロック(120)での消費電力は大幅に削減される。チップ全体での消費電力削減効果は、配置されるダミーブロック(120)の数にほぼ比例して増加する。従って、プロセスの微細化により回路規模が大きくなるにつれて、効果はより著しくなる。
更に、消費電力を低減するために、クロック供給を制御するクロックゲーティング回路を、ダミーブロック(120)の前段に別途設ける必要はない。このことは、チップ資源の浪費が防止され、チップ資源が有効に活用されることを意味する。また、チップ面積の増大が防止される。
本発明によれば、製品チップの消費電力が削減される。更に、ダミーブロックでの電力消費を低減するために、クロックゲーティング回路を別途設ける必要はない。従って、チップ資源が有効に活用され、また、チップ面積の増大が防止される。すなわち、チップ資源を浪費することなく、消費電力を低減することが可能となる。
1.半導体集積回路の開発方法
1−1.概要
本発明の実施の形態では、テスト容易化設計(DFT: Design For Testability)に基づいた技術が提供される。そのために、スキャンテストを実現できるスキャンフリップフロップセル(以下、「スキャンFFセル」と参照される)が用いられる。
図1は、本実施の形態で用いられるスキャンFFセル10の一例を概略的に示している。スキャンFFセル10は、データ入力端子T1、スキャン入力端子T2、SMC(Scan Mode Control)端子T3、クロック端子T4、スキャン出力端子T5、及びデータ出力端子T6を有している。データ入力端子T1及びデータ出力端子T6は、信号配線に接続される端子である。クロック端子T4は、クロック配線に接続される端子であり、そこにはクロック信号CLKが入力される。スキャン入力端子T2及びスキャン出力端子T5は、スキャンチェーン(スキャンパス)に接続される端子であり、スキャンテスト時に使用される。具体的には、スキャン入力端子T2は、スキャンチェーン上の前段のスキャンFFセル10のスキャン出力端子T5に接続され、スキャン出力端子T5は、スキャンチェーン上の後段のスキャンFFセル10のスキャン入力端子T2に接続される。SMC端子T3は、通常モードとスキャンテストモードを切り換えるためのSMC信号が入力される端子である。通常モード時には、データ入力端子T1からの入力が選択され、スキャンテストモード時にはスキャン入力端子T2からの入力が選択される。スキャン出力端子T5とデータ出力端子T6は、共通であってもよいし、別々であってもよい。
また、スキャンFFセル10は、選択回路11とデータ保持部12を有している。選択回路11は、データ入力端子T1及びスキャン入力端子T2からデータを受け取り、SMC端子T3からSMC信号を受け取る。SMC信号が指定するモードに応じて、選択回路11は、データ入力端子T1あるいはスキャン入力端子T2のいずれか一方からのデータを選択し、選択データをデータ保持部12に出力する。データ保持部12は、一般的なフリップフロップと同じ周知の構成を有しており、例えばラッチ回路とトランスファゲートから構成されている。データ保持部12は、選択回路11から選択データを受け取り、クロック端子T4からクロック信号CLKを受け取る。クロック信号CLKに基づいて、データ保持部12は、選択データを保持し、また、保持データをスキャン出力端子T5及びデータ出力端子T6に出力する。
このように、端子T1〜T6の各々は、スキャンFFセル10内部のいずれかの素子に内部配線を介してつながっている。また、スキャンFFセル10は、クロック信号CLKに基づいて動作する。
一方で、半導体集積回路の開発途中あるいは開発終了後に、設計回路の機能修正を行う必要がでてくる場合がある。その機能修正を短期間で実現し、また、故障検出の品質を維持するために、上述のスキャンFFセル10を容易に追加することができる技術が望まれる。そこで、本実施の形態では、回路設計の段階で、スキャンFFセル10に対応する予備的なセルが予め配置される。後述されるように、その予備的なセルは、スキャンFFセル10に類似しているが、フリップフロップの機能は有していない。その意味で、その予備的なセルは「ダミーブロックセル」と参照される。
後述されるように、本実施の形態に係るダミーブロックセルは、スキャンFFセル10との“置換”が容易であるという特徴を有している。回路設計の段階では、スキャンFFセル10との置換が容易なダミーブロックセルが予め配置される。そして、設計回路の機能修正が必要となった場合には、予め配置されているダミーブロックセルが、スキャンFFセル10で置換される。これにより、スキャンFFセル10を容易に追加し、機能修正を短期間で行うことが可能となる。
図2及び図3は、本実施の形態で用いられるダミーブロックセルの例を示している。図2には、DFT処理前のダミーブロックセル20の例が示されている。一方、図3には、DFT処理後の、スキャンテストに適合したダミーブロックセル20’の例が示されている。
図2及び図3において、端子D1〜D6は、スキャンFFセル10の端子T1〜T6のそれぞれに対応している。ダミー入力端子D1及びダミー出力端子D6は、データ入力端子T1及びデータ出力端子T6のそれぞれに対応している。ダミークロック端子D4は、クロック配線に接続される端子であり、そこにはクロック信号CLKが入力される。スキャン入力端子D2及びスキャン出力端子D5は、スキャンチェーン(スキャンパス)に接続される端子であり、スキャンテスト時に使用される。具体的には、スキャンテスト時、スキャンパタン(テストパタン)は、スキャン入力端子D2に入力され、スキャン出力端子D5から出力される。ダミーSMC端子D3は、SMC端子T3に対応している。
図2に示されるように、DFT処理前のダミーブロックセル20は、ダミー入力端子D1、ダミークロック端子D4、及びダミー出力端子D6を有している。あるいは、ダミーブロックセル20は、ダミー入力端子D1とダミー出力端子D6を有していなくてもよい。
図3に示されるように、DFT処理後のダミーブロックセル20’は、ダミー入力端子D1、スキャン入力端子D2、ダミーSMC端子D3、ダミークロック端子D4、スキャン出力端子D5、及びダミー出力端子D6を有している。スキャン出力端子D5とダミー出力端子D6は、共通であってもよいし、別々であってもよい。
本実施の形態に係るダミーブロックセル20’は、図1で示されたスキャンFFセル10に類似しているが、フリップフロップの機能は有していない。その代わり、ダミーブロックセル20’は、スキャン入力端子D2とスキャン出力端子D5との間を接続するスルーパス21を有している。スルーパス21では、クロック信号CLKに依存すること無くデータが転送される。スルーパス21は、クロック信号CLKに依存せずに動作するバッファやインバータ等の組み合わせ回路22を含んでいてもよい。図3で示された例では、組み合わせ回路22(インバータあるいはバッファ)が、スキャン入力端子D2とスキャン出力端子D5との間に接続されている。
このような構成により、ダミーブロックセル20’は、クロック信号CLKに依存すること無く、スキャン入力端子D2に入力されるデータに応じたデータをスキャン出力端子D5に出力する。つまり、ダミーブロックセル20’は、クロック信号CLKが入力されるダミークロック端子D4を有しているが、そのクロック信号CLKに依存すること無く動作する。従って、通常のスキャンFFセル10と同じ構成を有するダミーセルが用いられる場合と比較して、ダミーブロックセル20’での消費電力は大幅に削減される。
また、ダミーブロックセル20’の内部に設けられる内部配線は、スルーパス21を構成する配線だけでも十分である。スキャン入力端子D2とスキャン出力端子D5以外の端子を、ダミーブロックセル20’の内部の素子や配線と接続する必要はない。つまり、ダミー入力端子D1、ダミーSMC端子D3、ダミークロック端子D4等は、内部オープンであってもよい。この場合、スキャンFFセル10と同じ構成を有するダミーセルが用いられる場合と比較して、ダミーブロックセル20’内の配線禁止領域が圧倒的に少なくなり、配線性が向上する。
また、ダミーブロックセル20’は、スキャンFFセル10と同じサイズを有していると好適である。更に、ダミーブロックセル20’における端子D1〜D6の相対位置は、スキャンFFセル10における端子T1〜T6の相対位置と同じであると好適である。つまり、ダミーブロックセル20’における端子D1〜D6間の位置関係は、スキャンFFセル10における端子T1〜T6間の位置関係と同じであると好適である。これにより、ダミーブロックセル20’をスキャンFFセル10で容易に置換することが可能となる。更に、ダミーブロックセル20’のダミークロック端子D4の入力容量は、スキャンFFセル10のクロック端子T4の入力容量と同じであると好適である。この場合、ダミーブロックセル20’がスキャンFFセル10で置換された後、クロックツリーシンセシスを再度実施する必要がなくなる。
1−2.開発フロー
図4は、本実施の形態に係る半導体集積回路の開発方法の一例を示すフローチャートである。図4を参照して、上述のスキャンFFセル10及びダミーブロックセル20’を利用した開発方法の一例を説明する。
ステップS1:
まず、設計回路のネットリストが作成される。ネットリストは、設計回路に含まれるセル種やセル間の接続関係を示している。セルは例えば、NANDセル、インバータセル、フリップフロップセル等を含んでいる。更に、後の回路変更(特にフリップフロップセルの追加を伴う変更)に対応するため、図2で示されたダミーブロックセル20(DFT前)が設計回路の適当な箇所に挿入され、ネットリストが更新される。
ステップS2:
次に、DFT処理が実施され、ネットリストが更新される。具体的には、ネットリスト上で、フリップフロップセルの少なくとも一部が、図1で示されたスキャンFFセル10に置き換えられる。また、上述のダミーブロックセル20も、図3で示されたダミーブロックセル20’に置き換えられる。更に、ネットリスト上で、仮のスキャンチェーン(スキャンパス)が構築される。このとき、スキャンFFセル10と共にダミーブロックセル20’も、スキャンチェーンに組み込まれる。このようにして、DFT処理後のネットリストが作成される。
つまりここでは、フリップフロップセルとダミーブロックセル20とを、テストのためのスキャンパスを構成できるスキャンFFセル10およびダミーブロックセル20’に置き換え、スキャンパスを構成する処理を行う。なお、ステップS1で予めダミーブロックセル20’を利用したり、ダミーブロックセルが少なくともスキャン入力端子、スキャン出力端子、クロック端子を備える構成としたものを利用した場合には、ここでのダミーブロックセルの置き換えを省略することが可能である。ダミーブロックセルが少なくともスキャン入力端子、スキャン出力端子、クロック端子を備えていればスキャンパス接続を構成できるからである。
ダミーブロックセル20’の端子D1〜D6の接続先は、ネットリストで規定される。それら端子D1〜D6のネットリスト上での接続先は、例えば次の通りである。ダミークロック端子D4は、クロック配線に接続される。スキャン入力端子D2は、他のセルのスキャン出力端子(T5あるいはD5)に接続される。スキャン出力端子D5は、他のセルのスキャン入力端子(T2あるいはD2)に接続される。一方、ダミー入力端子D1やダミーSMC端子D3に関しては、接続先は存在しない。スキャン出力端子D5とダミー出力端子D6が別々の場合、ダミー出力端子D6に関しても接続先は存在しない。
本例で用いられるダミーブロックセル20’は、次の特徴を有している。スキャン入力端子D2とスキャン出力端子D5との間は、スルーパス21で接続されている。一方、スキャン入力端子D2とスキャン出力端子D5以外の端子は、ダミーブロックセル20’の内部の素子や配線と接続されていない。つまり、ダミー入力端子D1、ダミーSMC端子D3、ダミークロック端子D4等は、内部オープンである。また、ダミーブロックセル20’は、スキャンFFセル10と同じサイズを有している。更に、ダミーブロックセル20’のダミークロック端子D4の入力容量は、スキャンFFセル10のクロック端子T4の入力容量と同じである。更に、ダミーブロックセル20’における端子D1〜D6間の位置関係は、スキャンFFセル10における端子T1〜T6間の位置関係と同じである。つまり、ダミーブロックセル20’とスキャンFFセル10とを重ねて配置した場合に、各々対応する端子が同じ位置にあるように(換言すれば重なるように)構成されている。なお、ダミーブロックセル20’は少なくともスキャン入力端子、スキャン出力端子、クロック端子を備えていればよいので、これらのみしかもたない場合は、スキャン入力端子、スキャン出力端子、クロック端子が、スキャンFFセル10のそれぞれ対応する端子に重なるように構成されていればよい。
ステップS3:
次に、ネットリストに従って、セル配置(cell placement)が実施される。このとき、上述のスキャンFFセル10及びダミーブロックセル20’を含むセル群が、セルライブラリから読み込まれ、レイアウト領域に配置される。セル配置において、ダミーブロックセル20’は、スキャンFFセル10と同等に扱われる。図5は、セル配置の一例を概略的に示している。図5において、スキャンFFセル10a、10b、及びダミーブロックセル20’が配置されている。また、論理回路30a、30b、30c、30dが配置されている。
ステップS4:
セル配置後、上述の仮のスキャンチェーンが最適化される。この処理は、一般に「スキャンリチェーン(scan rechain)」と呼ばれている。具体的には、配置情報をもとにスキャンFFセル10およびダミーブロックセル20’のスキャンチェーンの接続順を入れ替える処理が行われる。ここでも、ダミーブロックセル20’は、スキャンFFセル10と同等に扱われる。
ステップS5:
次に、クロックツリーシンセシス(CTS: Clock Tree Synthesis)が実施され、クロックタイミングが調整される。CTSは、クロックスキューが出来る限り少なくなるように、クロック信号を受けるスキャンFFセル等の順序回路にクロック信号を供給するクロック分配ネットワークを構成する。ここでも、ダミーブロックセル20’は、スキャンFFセル10と同等に扱われる。従って、スキャンFFセル10だけでなくダミーブロックセル20’も、CTSの対象となる。すなわち、CTSは、スキャンFFセル10とダミーブロックセル20’を少なくとも含むセル群に対して共通に実施される。その結果、スキャンFFセル10とダミーブロックセル20’とで、クロックスキューが無くなる(あるいは所望の値以下になる)。スキャンFFセル10とダミーブロックセル20’に対して、クロック信号CLKは同じ位相で供給されるようになる。
ステップS6:
次に、上述のネットリストとステップS4,S5の結果に基づいて、レイアウト領域に配置されているセル間の配線(routing)が実施される。ここでも、ダミーブロックセル20’は、スキャンFFセル10と同等に扱われる。図6は、既出の図5に対応する図であり、セル間配線の一例を概略的に示している。図6で示された例において、各セルの接続先は、例えば次の通りである。
スキャンFFセル10aのデータ入力端子T1は、論理回路30aの出力に接続される。スキャン入力端子T2は、他のセルのスキャン出力端子(T5あるいはD5)に接続される。SMC端子T3は、SMC配線に接続される。クロック端子T4は、クロック信号CLKが供給されるクロック配線(クロックツリー配線)に接続される。スキャン出力端子T5は、ダミーブロックセル20’のスキャン入力端子D2に接続される。データ出力端子T6は、論理回路30bの入力に接続される。
スキャンFFセル10bのデータ入力端子T1は、論理回路30cの出力に接続される。スキャン入力端子T2は、ダミーブロックセル20’のスキャン出力端子D5に接続される。SMC端子T3は、SMC配線に接続される。クロック端子T4は、クロック配線に接続される。スキャン出力端子T5は、他のセルのスキャン入力端子(T2あるいはD2)に接続される。データ出力端子T6は、論理回路30dの入力に接続される。
ダミーブロックセル20’のダミークロック端子D4は、クロック信号CLKが供給されるクロック配線に接続される。スキャン入力端子D2は、スキャンFFセル10aのスキャン出力端子T5に接続される。スキャン出力端子D5は、スキャンFFセル10bのスキャン入力端子T2に接続される。このように、スキャンFFセル10だけでなくダミーブロックセル20’を含むスキャンチェーン40が構築される。つまり、スキャンFFセル10と共にダミーブロックセル20’も、スキャンチェーン40に組み込まれる。
このように、各セルのスキャン入力端子(T2、D2)及びスキャン出力端子(T5、D5)はスキャンチェーン40に接続され、また、各セルのクロック端子(T4、D4)はクロック配線に接続される。スキャンFFセル10は、クロック配線から受け取ったクロック信号CLKに基づいて、通常のフリップフリップ動作を行う。一方、ダミーブロックセル20’は、クロック信号CLKを受け取るが、そのクロック信号CLKに依存すること無く動作する。ダミーブロックセル20’は、スキャン入力端子D2に入力されたデータを、スルーパス21を通してスキャン出力端子D5に出力するだけである。従って、スキャンFFセル10と同じ構成を有するダミーセルが用いられる場合と比較して、ダミーブロックセル20’での消費電力は削減される。
また、ダミーブロックセル20’に関して、スキャン入力端子D2とスキャン出力端子D5以外の端子は、ダミーブロックセル20’の内部の素子や配線と接続されていない。従って、スキャンFFセル10と同じ構成を有するダミーセルが用いられる場合と比較して、ダミーブロックセル20’内の配線禁止領域が圧倒的に少なくなる。その結果、配線性(routing performance)が向上する。
更に、ダミークロック端子D4、スキャン入力端子D2、スキャン出力端子D5以外の端子(ブロック端子)は、入力オープンであってよい。つまり、ダミー入力端子D1やダミーSMC端子D3等を、ダミーブロックセル20’外の配線と接続する必要はない。それは、それら端子(D1、D3等)が内部オープンであり、動作に使用されないからである。従って、スキャンFFセル10と同じ構成を有するダミーセルが用いられる場合と比較して、配線処理の負担が軽減される。また、ダミーブロックセル20’周辺での配線性が向上する。
配線処理の結果、設計回路のレイアウトを示すレイアウトデータが作成される。レイアウトデータが作成された後、レイアウト検証やタイミング検証が実施される。検証結果がフェイルの場合、セル配置や配線が再度実施される。
ステップS7:
また、ネットリストに基づいて、スキャンテストで用いられるスキャンパタン(テストパタン)が作成される。このとき、ダミーブロックセル20’は、スキャンFFセル10ではなく、スルーパス21として扱われる。スキャンパタンは、例えば、ATPG(Automatic Test Pattern Generator)により作成される。スキャンパタンは、所望の故障検出率が得られるように作成される。
ステップS8:
レイアウトデータが完成した後、設計された半導体集積回路が製造される。具体的には、レイアウトデータに基づいて、設計回路のマスクデータが作成される。続いて、電子ビーム描画装置(EB描画装置)は、マスクデータに応じたレチクルを作成する。そのレチクルを用いたフォトリソグラフィ工程などを経て、半導体集積回路(半導体チップ)が製造される。
ここで、図7A及び図7Bを参照して、「下地層」について説明する。図7A及び図7Bにおいて、半導体基板50上にトランジスタTR1、TR2が形成されている。トランジスタTR1、TR2の各々は、ゲート55、ソース56、ドレイン57、及びゲート55と半導体基板50との間に形成されたゲート絶縁膜58を有している。ここで、ゲート55は、例えばポリシリコンなどで形成されている。また、トランジスタTR1、TR2を覆うように層間絶縁膜51が形成されている。コンタクト52は、層間絶縁膜51を貫通し、トランジスタの拡散層(ソース56あるいはドレイン57)につながるように形成されている。配線層には、コンタクト52につながる配線53が形成されている。更に、配線層が多層に形成されている。
下地層60は、トランジスタが形成される層を少なくとも含んでいる。例えば図7Aにおいて、下地層60は、点線より下(ゲートポリシリコン55から半導体基板50への方向)の部分を含んでいる。あるいは、図7Bに示されるように、下地層60は、更にいくつかの配線層を含んでいてもよい。一方、上地層70は、下地層60より上層の配線層から構成される。下地層60が複数のトランジスタを有している場合、上地層70における上層配線54の接続関係を変更することによって、様々な機能を実現することができる。下地層60の構造が同じであっても、上地層70において上層配線54をつなぎ換えることによって、異なる機能を実現することができる。
各セル内の下地層60及び上地層70の構造(レイアウト)は、各セルのキャラクタライズ時に決定されている。本実施の形態において、ダミーブロックセル20’は、スキャンFFセル10の機能を実現できるだけのトランジスタ構造(トランジスタの配置等)を、あらかじめ下地層60に有していることが好適である。つまり、ダミーブロックセル20’は、少なくともスキャンFFセル10と同じトランジスタ構造(下地構造)を下地層60に有していることが好適である。その場合、上地層70の上層配線54をつなぎ換えるだけで、ダミーブロックセル20’にスキャンFFセル10の機能を持たせることができる。このことは、後の第1−3節において詳しく説明される。
ステップS9:
半導体チップが製造された後、スキャンチェーンとステップS7で作成されたスキャンパタン(テストパタン)を用いることによりスキャンテストが実施される。スキャンテストの結果がフェイルであった場合、その半導体チップは不良品としてはじかれる。
1−3.設計変更
次に、設計変更(機能修正)における処理を説明する。設計変更は、半導体集積回路の開発途中あるいは開発終了後に発生し得る。設計変更の発生段階に依存して、処理は異なる。
ステップS10:
まず、設計変更が製造段階(ステップS8)より前に発生した場合を考える。この場合、いわゆるECO(Engineering Change Order)が実施される。ECOとは、セルの置換等、ネットリストの変更(すなわち回路変更)を伴うレイアウト変更処理のことである。
本実施の形態では、予め配置されているダミーブロックセル20’が、必要に応じてスキャンFFセル10で置換される。具体的には、ネットリスト内で、置換対象のダミーブロックセル20’のブロック名(あるいはセル名)が、スキャンFFセル10のブロック名に変更される。ブロック名は、各々のセルブロック(機能ブロック)に付与された名称である。このブロック名に対応してセルブロックのレイアウトデータ等のライブラリ情報が対応付けられる。また、新たなスキャンFFセル10の端子の接続先が、ネットリストに追加される。このようにして、ネットリストが変更される。その後、処理は、ステップS6(配線段階)に戻る。ステップS6では、変更後のネットリストに従って、配線が実施される。そして、スキャンパタンが再度作成される(ステップS7)。
図8は、既出の図6に対応する図であり、セル置換の一例を概略的に示している。図8に示されるように、図6でのダミーブロックセル20’が、新たなスキャンFFセル10cによって置き換えられている。置換後のスキャンFFセル10cのデータ入力端子T1は、例えば論理回路30bの出力に接続される。データ出力端子T6は、例えば論理回路30cの入力に接続される。SMC端子T3は、SMC配線に接続される。
本例では、ダミーブロックセル20’は、スキャンFFセル10と同じサイズを有しており、また、スキャンセルFFセル10の端子(T1〜T6)と同種の端子(D1〜D6)を有している。従って、ネットリスト上のブロック名を変更するだけで、ダミーブロックセル20’をスキャンFFセル10に簡単に変更することが可能である。設計変更の段階で、セル配置(ステップS3)を再度実施する必要はない。
また、ダミーブロックセル20’における端子D1〜D6間の位置関係は、スキャンFFセル10における端子T1〜T6間の位置関係と同じである。従って、置換後のスキャンFFセル10cに関して、スキャン入力端子T2、クロック端子T4、及びスキャン出力端子T5に既に接続されている配線に変更はない。つまり、スキャン入力端子T2、クロック端子T4、及びスキャン出力端子T5に対して、新たに配線をひきなおす必要はない。従って、設計変更段階での配線処理の負担が軽減される。
更に、置換後のスキャンFFセル10cのクロック端子T4の入力容量は、ダミーブロックセル20’のダミークロック端子D4の入力容量と同じである。また、上述の通り、スキャンFFセル10cに関して、クロック端子T4に既に接続されている配線に変更はない。従って、セル置換はクロックタイミングに影響を与えず、セル置換前後でクロックスキューは変化しない。上述のステップS5において、ダミーブロックセル20’に対して、CTS処理は既に実施されている。つまり、ダミーブロックセル20’と他のセルとの間でクロックタイミングは一致している。従って、置換後のスキャンFFセル10cと他のセルとの間でもクロックタイミングは一致する。設計変更の段階で、CTS(ステップS5)を再度実施する必要はない。
以上に説明されたように、本実施の形態によれば、ダミーブロックセル20’をスキャンFFセル10で簡単に置換することができる。つまり、スキャンFFセル10を容易に追加し、機能修正を短期間で行うことが可能となる。機能修正の段階で、セル配置(ステップS3)やCTS(ステップS5)を再度実施する必要はない。従って、従来技術よりも設計TATが短縮される。
ステップS20:
次に、設計変更が製造段階(ステップS8)より後に発生した場合を考える。本例によれば、ダミーブロックセル20’は、スキャンFFセル10の機能を実現できるだけのトランジスタ構造を、あらかじめ下地層60に有している(図7A、図7B参照)。つまり、ダミーブロックセル20’は、少なくともスキャンFFセル10と同じトランジスタ構造を下地層60に有している。従って、ダミーブロックセル20’がスキャンFFセル10と同等の機能を持つように、上地層70における上層配線54のつなぎ換えが行われる。これにより、スキャンFFセル10を簡単に追加することができる。上述の通り、CTS処理は既に実施されているため、追加されたスキャンFFセル10と他のセルとの間でクロックタイミングは一致している。
その後、ステップS10の場合と同様にネットリストが変更され、スキャンパタンが再度作成される(ステップS7)。作成されたスキャンパタンを用いることにより、スキャンテストが実施される(ステップS9)。
本例によれば、下地層60の構造は変更されないため、機能修正に要する作業工程が大幅に短縮される。つまり、機能修正を短期間で行うことが可能となる。また、下地層60の構造が変更されないため、機能修正が行われても下地層60のマスクに変更は生じない。従って、機能修正にかかる費用が軽減される。
1−4.設計システム
本実施の形態に係る半導体集積回路の設計方法は、コンピュータシステムにより実現され得る。図9は、本実施の形態に係る設計システム80の構成例を示すブロック図である。設計システム80は、演算処理装置81、記憶装置82、入力装置83、出力装置84、及び設計プログラム90を備えている。記憶装置82としては、RAMはHDDが例示される。入力装置83としては、キーボードやマウスが例示される。出力装置84としては、ディスプレイが例示される。
記憶装置82には、ネットリストNET、セルライブラリLIB、レイアウトデータLAY、スキャンパタンPAT等が格納される。
設計プログラム90は、演算処理装置81によって実行されるソフトウェアプログラムである。設計プログラム90は、コンピュータ読み取り可能な記録媒体に記録されていてもよいし、記憶装置82に格納されていてもよい。設計プログラム90は、DFTツール91、配置配線ツール92、CTSツール93、テストパタン作成ツール94等を含んでいる。DFTツール91は、ステップS2やステップS4の機能を提供する。配置配線ツール92は、ステップS3やステップS6の機能を提供する。CTSツール93は、ステップS5の機能を提供する。テストパタン作成ツール94は、ステップS7の機能を提供する。
演算処理装置81は、設計プログラム90のそれぞれのツールを実行することにより、それぞれのデータ処理を実現する。各ツールは、必要なデータやファイルを記憶装置82から読み出し、また、作成したデータやファイルを記憶装置82に書き込む。これにより、上述の本実施の形態に係る処理が実現される。
2.半導体集積回路
図10は、本実施の形態に係る半導体集積回路100の一例を概略的に示している。半導体集積回路100は、上述の開発手法の結果得られるものであり、次のような特徴を有している。
半導体集積回路100は、スキャンフリップフロップ(以下、「スキャンFF」と参照される)110、ダミーブロック120、論理回路130、及びスキャンチェーン140を備えている。スキャンFF110は、スキャンFFセル10に相当する回路であり、スキャンFFセル10に対応した大きさを有している。ダミーブロック120は、置換されずに残ったダミーブロックセル20’に相当する回路である。ダミーブロック120は、ダミーブロックセル20’に対応した大きさを有している。スキャンFF110及びダミーブロック120は、スキャンチェーン140上に形成されている。つまり、スキャンチェーン140上に、ダミーブロック120が残っている。
スキャンFF110は、データ入力端子T1、スキャン入力端子T2、SMC端子T3、クロック端子T4、スキャン出力端子T5、及びデータ出力端子T6を有している。一方、ダミーブロック120は、ダミー入力端子D1、スキャン入力端子D2、ダミーSMC端子D3、ダミークロック端子D4、スキャン出力端子D5、及びダミー出力端子D6を有している。
スキャンFF110aのデータ入力端子T1は、論理回路130aの出力に接続されている。スキャン入力端子T2は、スキャンチェーン140に接続されている。SMC端子T3は、SMC配線に接続されている。クロック端子T4は、クロック信号CLKが供給されるクロック配線(クロックツリー配線)に接続されている。スキャン出力端子T5は、スキャンチェーン140に接続されている。データ出力端子T6は、論理回路130bの入力に接続されている。
スキャンFF110bのデータ入力端子T1は、論理回路130cの出力に接続されている。スキャン入力端子T2は、スキャンチェーン140に接続されている。SMC端子T3は、SMC配線に接続されている。クロック端子T4は、クロック配線に接続されている。スキャン出力端子T5は、スキャンチェーン140に接続されている。データ出力端子T6は、論理回路130dの入力に接続されている。
ダミーブロック120のダミークロック端子D4は、クロック信号CLKが供給されるクロック配線に接続されている。スキャン入力端子D2は、スキャンチェーン140に接続されている。スキャン出力端子D5は、スキャンチェーン140に接続されている。その他の端子(ブロック端子)は、ダミーブロック120外の配線と接続されていない。つまり、ダミー入力端子D1やダミーSMC端子D3等は、入力オープンである。
また、ダミーブロック120内において、スキャン入力端子D2とスキャン出力端子D5との間は、スルーパス121で接続されている。スルーパス121では、クロック信号CLKに依存すること無くデータが転送される。スルーパス121は、バッファやインバータ等の組み合わせ回路122を含んでいてもよい。図10で示された例では、組み合わせ回路122が、スキャン入力端子D2とスキャン出力端子D5との間に接続されている。一方、スキャン入力端子D2とスキャン出力端子D5以外の端子は、ダミーブロック120の内部の素子や配線と接続されていない。つまり、ダミー入力端子D1、ダミーSMC端子D3、ダミークロック端子D4等は、内部オープンである。
また、ダミーブロック120は、スキャンFF110と同じサイズを有している。つまり、端子D1〜D6を含むダミーブロック120は、スキャンFF110と同じ大きさの領域に形成されている。更に、ダミーブロック120における端子D1〜D6間の位置関係は、スキャンFF110における端子T1〜T6間の位置関係と同じである。更に、ダミーブロック120のダミークロック端子D4の入力容量は、スキャンFF110のクロック端子T4の入力容量と同じである。
また、スキャンFF110及びダミーブロック120の各々は、下地層60と上地層70を有している(図7参照)。ダミーブロック120は、少なくともスキャンFF110と同じトランジスタ構造(下地構造)を下地層60に有している。
以上に説明された半導体集積回路100において、スキャンFF110は、クロック配線から受け取ったクロック信号CLKに基づいて、通常のフリップフリップ動作を行う。一方、ダミーブロック120は、クロック信号CLKを受け取るが、そのクロック信号CLKに依存すること無く動作する。ダミーブロック120は、スキャン入力端子D2に入力される入力データに応じたデータを、スルーパス121を通してスキャン出力端子D5に出力するだけである。従って、通常のスキャンFFと同じ構成を有するダミーブロックの場合と比較して、ダミーブロック120での消費電力は削減される。
また、ダミーブロック120での電力消費が低減されるため、クロック供給を制御するクロックゲーティング回路を、ダミーブロック120の前段に別途設ける必要はない。従って、チップ資源が有効に活用され、また、チップ面積の増大が防止される。すなわち、チップ資源を浪費することなく、消費電力を低減することが可能となる。
3.効果
本実施の形態で得られる効果の一部をまとめると、次の通りである。
(1)製品チップのスキャンチェーン140上に残っているダミーブロック120は、クロック信号CLKを受け取るが、そのクロック信号CLKに依存すること無く動作する。その結果、通常のスキャンFFと同じ構成を有するダミーブロックの場合と比較して、ダミーブロック120での消費電力は大幅に削減される。チップ全体での消費電力削減効果は、配置されるダミーブロック120の数にほぼ比例して増加する。従って、プロセスの微細化により回路規模が大きくなるにつれて、効果はより著しくなる。
(2)また、ダミーブロック120での電力消費が低減されるため、クロック供給を制御するクロックゲーティング回路を、ダミーブロック120の前段に別途設ける必要はない。従って、チップ資源が有効に活用され、また、チップ面積の増大が防止される。すなわち、チップ資源を浪費することなく、消費電力を低減することが可能となる。また、回路作成の負担が軽減される。
(3)開発段階の配線処理(ステップS6)において、配線性が向上するという効果も得られる。例えば、ダミーブロックセル20’の一部の端子は、内部の素子や配線と接続されていない(内部オープン)。従って、スキャンFFセル10と同じ構成を有するダミーセルが用いられる場合と比較して、ダミーブロックセル20’内の配線禁止領域が圧倒的に少なくなる。その結果、配線性が向上する。また、ダミーブロックセル20’の一部の端子は、外部の素子や配線と接続されない(入力オープン)。従って、配線処理の負担が軽減される。また、ダミーブロックセル20’周辺での配線性が向上する。
(4)上述の通り、消費電力が削減され、且つ、配線性が向上するため、多数のダミーブロックセル20’を設計回路に挿入することが可能である。言い換えれば、ダミーブロックセル20’の挿入に関する制約が緩和される。従って、設計及び機能修正が容易になる。
(5)本実施の形態によれば、ダミーブロックセル20’をスキャンFFセル10で簡単に置換することができる。つまり、設計変更(機能修正)に要する時間を短縮することができる。
例えば、ダミーブロックセル20’は、スキャンFFセル10と同じサイズを有しており、また、スキャンセルFFセル10の端子(T1〜T6)と同種の端子(D1〜D6)を有している。従って、ネットリスト上のブロック名を変更するだけで、ダミーブロックセル20’をスキャンFFセル10に簡単に変更することが可能である。設計変更の段階で、セル配置(ステップS3)を再度実施する必要はない。
また、ダミーブロックセル20’における端子D1〜D6間の位置関係は、スキャンFFセル10における端子T1〜T6間の位置関係と同じである。従って、置換後のスキャンFFセル10の一部の端子に関しては、既に接続されている配線を使用することができる。その一部の端子に対して、新たに配線をひきなおす必要はない。従って、設計変更段階での配線処理の負担が軽減される。
更に、置換後のスキャンFFセル10のクロック端子T4の入力容量は、ダミーブロックセル20’のダミークロック端子D4の入力容量と同じである。また、クロック端子T4に接続される配線に変更はない。従って、セル置換はクロックタイミングに影響を与えず、セル置換前後でクロックスキューは変化しない。CTS処理(ステップS5)により、ダミーブロックセル20’と他のセルとの間でクロックタイミングは一致しているため、置換後のスキャンFFセル10と他のセルとの間でもクロックタイミングは一致する。設計変更の段階で、CTSを再度実施する必要はない。
比較例として、一般的なバッファセルがダミーセルとして用いられる場合を考える。そのバッファセルの入力端子の入力容量は、通常のフリップフロップセルのクロック端子の入力容量と同じであるとする。しかしながら、そのバッファセルが通常のフリップフロップセルで置換されると、クロック信号が入力される端子の位置が変わる。従って、置換後のフリップフロップセルにつながるクロック配線を変更する必要がある。この処理は、クロックスキューに影響を及ぼす。従って、CTSを再度実施する必要がある。
図1は、スキャンフリップフロップセルの一例を示す概略図である。 図2は、DFT処理前のダミーブロックセルの例を示す概略図である。 図3は、DFT処理後のダミーブロックセルの例を示す概略図である。 図4は、本発明の実施の形態に係る半導体集積回路の開発方法を示すフローチャートである。 図5は、セル配置の一例を示す概略図である。 図6は、セル間配線の一例を示す概略図である。 図7Aは、半導体集積回路の下地層を説明するための断面図である。 図7Bは、半導体集積回路の下地層を説明するための断面図である。 図8は、スキャンフリップフロップセルによるダミーブロックセルの置き換えを示す概略図である。 図9は、本発明の実施の形態に係る半導体集積回路の設計システムの構成例を示すブロック図である。 図10は、本発明の実施の形態に係る半導体集積回路の構成例を示す回路図である。
符号の説明
10 スキャンフリップフロップセル
11 選択回路
12 データ保持部
T1 データ入力端子
T2 スキャン入力端子
T3 SMC端子
T4 クロック端子
T5 スキャン出力端子
T6 データ出力端子
20,20’ ダミーブロックセル
21 スルーパス
22 組み合わせ回路
D1 ダミー入力端子
D2 スキャン入力端子
D3 ダミーSMC端子
D4 ダミークロック端子
D5 スキャン出力端子
D6 ダミー出力端子
30 論理回路
40 スキャンチェーン
50 半導体基板
51 層間絶縁膜
52 コンタクト
53 配線
54 上層配線
60 下地層
70 上地層
80 設計システム
81 演算処理装置
82 記憶装置
83 入力装置
84 出力装置
90 設計プログラム
91 DFTツール
92 配置配線ツール
93 CTSツール
94 テストパタン作成ツール
100 半導体集積回路
110 スキャンフリップフロップ
120 ダミーブロック
121 スルーパス
122 組み合わせ回路
130 論理回路
140 スキャンチェーン
NET ネットリスト
LIB セルライブラリ
LAY レイアウトデータ
PAT スキャンパタン
CLK クロック信号

Claims (15)

  1. スキャンチェーンと、
    前記スキャンチェーン上に形成されたスキャンフリップフロップと、
    前記スキャンチェーン上に形成されたダミーブロックと
    を備え、
    前記ダミーブロックは、
    クロック信号が入力されるクロック端子と、
    前記スキャンチェーンに接続されたスキャン入力端子と、
    前記スキャンチェーンに接続されたスキャン出力端子と
    を有し、
    前記ダミーブロックは、前記クロック信号に依存すること無く、前記スキャン入力端子に入力される入力データに応じたデータを前記スキャン出力端子に出力する
    半導体集積回路。
  2. 請求項1に記載の半導体集積回路であって、
    前記ダミーブロックは、前記スキャン入力端子と前記スキャン出力端子との間を接続するスルーパスを有する
    半導体集積回路。
  3. 請求項2に記載の半導体集積回路であって、
    前記スルーパスは、前記スキャン入力端子と前記スキャン出力端子との間を接続する組み合わせ回路を含む
    半導体集積回路。
  4. 請求項1乃至3のいずれかに記載の半導体集積回路であって、
    前記ダミーブロックは更に、前記クロック端子、前記スキャン入力端子、前記スキャン出力端子以外のブロック端子を有し、
    前記ブロック端子は、前記ダミーブロック外の配線と接続されていない
    半導体集積回路。
  5. 請求項4に記載の半導体集積回路であって、
    前記クロック端子及び前記ブロック端子は、前記ダミーブロック内において配線と接続されていない
    半導体集積回路。
  6. 請求項1乃至5のいずれかに記載の半導体集積回路であって、
    前記スキャンフリップフロップは、
    前記クロック信号が入力されるクロック端子と、
    前記スキャンチェーンに接続されたスキャン入力端子と、
    前記スキャンチェーンに接続されたスキャン出力端子と
    を有し、
    前記クロック端子、前記スキャン入力端子、及び前記スキャン出力端子間の位置関係は、前記ダミーブロックと前記スキャンフリップフロップとで同じである
    半導体集積回路。
  7. 請求項1乃至6のいずれかに記載の半導体集積回路であって、
    前記スキャンフリップフロップは、前記クロック信号が入力されるクロック端子を有し、
    前記クロック端子の入力容量は、前記ダミーブロックと前記スキャンフリップフロップとで同じである
    半導体集積回路。
  8. 請求項1乃至7のいずれかに記載の半導体集積回路であって、
    前記ダミーブロックは、前記スキャンフリップフロップと同じサイズを有する
    半導体集積回路。
  9. 請求項1乃至8のいずれかに記載の半導体集積回路であって、
    前記スキャンフリップフロップ及び前記ダミーブロックは、トランジスタが形成される下地層を有しており、
    前記ダミーブロックは、少なくとも前記スキャンフリップフロップと同じトランジスタ構造を前記下地層に有する
    半導体集積回路。
  10. 半導体集積回路の開発方法であって、
    (A)スキャンフリップフロップセルとダミーブロックセルを含むセル群を配置することと、
    (B)前記スキャンフリップフロップセルと前記ダミーブロックセルに対して、クロックツリーシンセシスを実施することと、
    (C)前記スキャンフリップフロップセルと前記ダミーブロックセルを含むスキャンチェーンが構築されるように、前記配置されたセル間の配線を行うことと、
    を有し、
    前記ダミーブロックセルは、
    クロック信号が入力されるクロック端子と、
    前記スキャンチェーンに接続されるスキャン入力端子と、
    前記スキャンチェーンに接続されるスキャン出力端子と
    を有し、
    前記ダミーブロックセルは、前記クロック信号に依存すること無く、前記スキャン入力端子に入力される入力データに応じたデータを前記スキャン出力端子に出力する
    半導体集積回路の開発方法。
  11. 請求項10に記載の半導体集積回路の開発方法であって、
    前記ダミーブロックセルは更に、前記クロック端子、前記スキャン入力端子、前記スキャン出力端子以外のブロック端子を有し、
    前記(C)プロセスにおいて、前記ブロック端子は、前記ダミーブロックセル外の配線と接続されない
    半導体集積回路の開発方法。
  12. 請求項11に記載の半導体集積回路の開発方法であって、
    前記クロック端子及び前記ブロック端子は、前記ダミーブロックセル内において配線と接続されていない
    半導体集積回路の開発方法。
  13. 請求項10乃至12のいずれかに記載の半導体集積回路の開発方法であって、
    前記ダミーブロックセルは、前記スキャンフリップフロップセルと同じサイズを有し、
    前記スキャンフリップフロップセルは、
    前記クロック信号が入力されるクロック端子と、
    前記スキャンチェーンに接続されるスキャン入力端子と、
    前記スキャンチェーンに接続されるスキャン出力端子と
    を有し、
    前記クロック端子、前記スキャン入力端子、及び前記スキャン出力端子間の位置関係は、前記ダミーブロックセルと前記スキャンフリップフロップセルとで同じであり、
    前記開発方法は、更に、
    (D)前記ダミーブロックセルを前記スキャンフリップフロップセルで置換すること
    を有する
    半導体集積回路の開発方法。
  14. 請求項13に記載の半導体集積回路の開発方法であって、
    前記クロック端子の入力容量は、前記ダミーブロックセルと前記スキャンフリップフロップセルとで同じであり、
    前記(D)プロセスの後、クロックツリーシンセシスは再度実施されない
    半導体集積回路の開発方法。
  15. 請求項10乃至14のいずれかに記載の半導体集積回路の開発方法であって、
    前記スキャンフリップフロップセル及び前記ダミーブロックセルは、トランジスタが形成される下地層を有しており、
    前記ダミーブロックセルは、少なくとも前記スキャンフリップフロップセルと同じトランジスタ構造を前記下地層に有しており、
    前記開発方法は、更に、
    (E)前記ダミーブロックセルが前記スキャンフリップフロップセルと同等の機能を持つように、前記下地層より上層の配線層における配線をつなぎ換えること
    を有する
    半導体集積回路の開発方法。
JP2007198617A 2007-07-31 2007-07-31 半導体集積回路及びその開発方法 Pending JP2009038072A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2007198617A JP2009038072A (ja) 2007-07-31 2007-07-31 半導体集積回路及びその開発方法
US12/219,742 US7919981B2 (en) 2007-07-31 2008-07-28 Integrated circuit design based on scan design technology
TW097128894A TW200925919A (en) 2007-07-31 2008-07-30 Integrated circuit design based on scan design technology

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007198617A JP2009038072A (ja) 2007-07-31 2007-07-31 半導体集積回路及びその開発方法

Publications (1)

Publication Number Publication Date
JP2009038072A true JP2009038072A (ja) 2009-02-19

Family

ID=40337326

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007198617A Pending JP2009038072A (ja) 2007-07-31 2007-07-31 半導体集積回路及びその開発方法

Country Status (3)

Country Link
US (1) US7919981B2 (ja)
JP (1) JP2009038072A (ja)
TW (1) TW200925919A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011145893A (ja) * 2010-01-14 2011-07-28 Nec Corp スキャンフリップフロップ追加システム及びスキャンフリップフロップ追加方法
US8981494B2 (en) 2011-09-20 2015-03-17 Samsung Electronics Co., Ltd. Eco logic cell and design change method using eco logic cell

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7279887B1 (en) * 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
US8271252B2 (en) * 2007-11-08 2012-09-18 Nvidia Corporation Automatic verification of device models
US8510616B2 (en) * 2008-02-14 2013-08-13 Nvidia Corporation Scalable scan-based test architecture with reduced test time and test power
US8943457B2 (en) * 2008-11-24 2015-01-27 Nvidia Corporation Simulating scan tests with reduced resources
IT1392913B1 (it) * 2008-12-30 2012-04-02 St Microelectronics Srl Metodo per implementare variazioni di funzionalita' di un layout di progetto di un dispositivo integrato, in particolare un sistema su singolo chip o system-on-chip mediante celle di riempimento programmabili tramite maschera
JP5431737B2 (ja) * 2009-01-07 2014-03-05 日本電気株式会社 集積回路設計装置、設計方法およびプログラム
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8384426B2 (en) * 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20130117618A1 (en) * 2011-11-03 2013-05-09 Freescale Semiconductor, Inc Scan testing of integrated circuit with clock gating cells
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9500706B2 (en) 2014-01-22 2016-11-22 Nvidia Corporation Hybrid on-chip clock controller techniques for facilitating at-speed scan testing and scan architecture support
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9244124B2 (en) * 2014-03-28 2016-01-26 International Business Machines Corporation Initializing and testing integrated circuits with selectable scan chains with exclusive-or outputs
US9330224B2 (en) * 2014-04-30 2016-05-03 Oracle International Corporation Method and apparatus for dummy cell placement management
US9536031B2 (en) * 2014-07-14 2017-01-03 Mediatek Inc. Replacement method for scan cell of integrated circuit, skewable scan cell and integrated circuit
US20170206996A1 (en) * 2014-07-23 2017-07-20 Turtle Bay Partners,LLC Practical method for fabricating foam interspaced anti-scatter grid and improved grids
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
DE112016004265T5 (de) 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US9953121B2 (en) 2016-05-03 2018-04-24 International Business Machines Corporation Accommodating engineering change orders in integrated circuit design
JP6647145B2 (ja) * 2016-05-30 2020-02-14 ルネサスエレクトロニクス株式会社 半導体装置、電子制御システムおよび電子制御システムの評価方法
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10338139B1 (en) 2016-12-15 2019-07-02 Samsung Electronics Co., Ltd. Method and apparatus for scan chain reordering and optimization in physical implementation of digital integrated circuits with on-chip test compression
US10372858B2 (en) * 2017-02-28 2019-08-06 Synopsys, Inc. Design-for-testability (DFT) insertion at register-transfer-level (RTL)
US11113444B2 (en) * 2018-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Machine-learning based scan design enablement platform
TWI722360B (zh) * 2018-11-13 2021-03-21 大陸商創意電子(南京)有限公司 測試系統與測試方法
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
CN112100972B (zh) * 2019-05-31 2024-02-13 创意电子股份有限公司 电路校正系统与增加扫描测试涵盖率的方法
CN112084742A (zh) * 2019-06-14 2020-12-15 瑞昱半导体股份有限公司 集成电路设计方法与其非瞬时计算机可读介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002289694A (ja) * 2001-03-26 2002-10-04 Nec Microsystems Ltd 半導体集積回路およびそのレイアウト設計方法
JP2005309624A (ja) * 2004-04-19 2005-11-04 Fujitsu Ltd レイアウト設計装置、レイアウト設計方法、レイアウト設計プログラム、および記録媒体
JP2007081338A (ja) * 2005-09-16 2007-03-29 Renesas Technology Corp 半導体集積回路および半導体集積回路の設計方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005322694A (ja) 2004-05-06 2005-11-17 Ricoh Co Ltd 半導体集積回路のレイアウト設計方法及び製造方法
US7127691B2 (en) * 2004-09-29 2006-10-24 International Business Machines Corporation Method and apparatus for manufacturing test generation
JP2006128635A (ja) 2004-09-30 2006-05-18 Matsushita Electric Ind Co Ltd 半導体集積回路
JP2008117372A (ja) * 2006-10-13 2008-05-22 Nec Electronics Corp 半導体集積回路およびその制御方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002289694A (ja) * 2001-03-26 2002-10-04 Nec Microsystems Ltd 半導体集積回路およびそのレイアウト設計方法
JP2005309624A (ja) * 2004-04-19 2005-11-04 Fujitsu Ltd レイアウト設計装置、レイアウト設計方法、レイアウト設計プログラム、および記録媒体
JP2007081338A (ja) * 2005-09-16 2007-03-29 Renesas Technology Corp 半導体集積回路および半導体集積回路の設計方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011145893A (ja) * 2010-01-14 2011-07-28 Nec Corp スキャンフリップフロップ追加システム及びスキャンフリップフロップ追加方法
US8981494B2 (en) 2011-09-20 2015-03-17 Samsung Electronics Co., Ltd. Eco logic cell and design change method using eco logic cell

Also Published As

Publication number Publication date
US7919981B2 (en) 2011-04-05
TW200925919A (en) 2009-06-16
US20090032899A1 (en) 2009-02-05

Similar Documents

Publication Publication Date Title
JP2009038072A (ja) 半導体集積回路及びその開発方法
KR101460448B1 (ko) 이중 패터닝 기술들을 위한 물리 결정 경계 상호접속 피처들을 생성하기 위한 시스템 및 방법
KR102514044B1 (ko) 집적 회로 및 집적 회로의 설계 방법
US7512925B2 (en) System and method for reducing test time for loading and executing an architecture verification program for a SoC
US20080309374A1 (en) Semiconductor integrated circuit, layout design method of semiconductor integrated circuit, and layout program product for same
JP2009065056A (ja) 半導体集積回路のレイアウト方法、レイアウトプログラム、及びレイアウト装置
JP2004342100A (ja) 集積回路の物理設計用のツールフロープロセス
JP2011124423A (ja) セルライブラリ、レイアウト方法およびレイアウト装置
JP2007027290A (ja) 半導体集積回路のレイアウト設計方法
JP2007072960A (ja) 半導体集積回路装置のレイアウト方法及びそのレイアウトプログラム
JP2007294015A (ja) 半導体集積回路、及びbist回路設計方法
JP2005115785A (ja) 半導体装置の配線方法、半導体装置の製造方法及び半導体装置
US7958482B2 (en) Stitched circuitry region boundary identification for stitched IC chip layout
JP2007011957A (ja) 回路設計装置およびプログラム
US8006211B2 (en) IC chip and design structure including stitched circuitry region boundary identification
US8307325B2 (en) Method of semiconductor integrated circuit and computer readable medium
JP2005235804A (ja) 半導体装置の設計方法及びプログラム
JP2009134439A (ja) ソフトマクロを用いたレイアウト設計方法、ソフトマクロのデータ構造及びソフトマクロライブラリの作成方法
JP5650362B2 (ja) 半導体集積回路の設計方法
JP2008227035A (ja) スペアセル用のセルデータ、半導体集積回路の設計方法及び半導体集積回路。
JP2001308190A (ja) 半導体集積回路のレイアウト方法
JP2010073728A (ja) 半導体集積回路レイアウト設計方法及び半導体集積回路レイアウト設計装置
US11900041B2 (en) Via coloring methods and systems
JP2009252134A (ja) 配置検証装置
JP2006331006A (ja) Lsiレイアウトの配線混雑抑制方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100514

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120905

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121227