JP2008526000A - 強誘電性キャパシタ積層エッチ・クリーニング - Google Patents

強誘電性キャパシタ積層エッチ・クリーニング Download PDF

Info

Publication number
JP2008526000A
JP2008526000A JP2007547040A JP2007547040A JP2008526000A JP 2008526000 A JP2008526000 A JP 2008526000A JP 2007547040 A JP2007547040 A JP 2007547040A JP 2007547040 A JP2007547040 A JP 2007547040A JP 2008526000 A JP2008526000 A JP 2008526000A
Authority
JP
Japan
Prior art keywords
ashing
ferroelectric
ferroelectric capacitor
patterned
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007547040A
Other languages
English (en)
Other versions
JP4838811B2 (ja
Inventor
サマーフェルト、スコット、アール.
ホール、リンゼイ、エイチ.
ウダヤクマル、ケー.、アール.
フォース モイセ、セオドア、エス.、ザ
Original Assignee
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテッド filed Critical テキサス インスツルメンツ インコーポレイテッド
Publication of JP2008526000A publication Critical patent/JP2008526000A/ja
Application granted granted Critical
Publication of JP4838811B2 publication Critical patent/JP4838811B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/57Capacitors with a dielectric comprising a perovskite structure material comprising a barrier layer to prevent diffusion of hydrogen or oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

強誘電性キャパシタ構造を製造するための方法(100)が提供され、この方法は、半導体デバイス内のパターニングされた強誘電性キャパシタ構造をエッチング及びクリーニングする方法(128)を含む。この方法は、上部電極の一部をエッチングし(140)、強誘電性材料をエッチングし(141)、下部電極をエッチングして(142)、パターニングされた強誘電性キャパシタ構造を画定し、更に下部電極拡散障壁構造の一部をエッチングする(143)ことを含む。この方法は更に、パターニングされた強誘電性キャパシタ構造を第1のアッシング・プロセスを用いてアッシングし(144)、第1のアッシング・プロセスの後ウェット・クリーニング・プロセスを実行し(145)、ウェット・クリーニング・プロセスの直後に、パターニングされた強誘電性キャパシタ構造を第2のアッシング・プロセスを用いて高温の酸化雰囲気中でアッシングする(146)ことを含む。

Description

本発明は、全般的に半導体デバイスに関し、更に特定して言えば、半導体デバイスの製造において、エッチングされた強誘電性キャパシタ構造をクリーニングする方法に関連する。
メモリ・システムは、パーソナル・コンピュータ・システム、組み込みプロセッサ・ベースのシステム、ビデオ画像処理回路、携帯電話、及び同様のものなど、多くの電子製品で用いられる、データ、プログラム・コード、及び/又は他の情報の蓄積に用いられる。強誘電性メモリは、「FRAM」又は「FERAM」と呼ぶこともあり、通常、1トランジスタ1キャパシタ(1T1C)又は2トランジスタ2キャパシタ(2T2C)セル構成に構成される不揮発性形式のメモリであり、これらの構成において、各メモリ・セルは、アクセス・トランジスタと強誘電性誘電体材料を用いて形成されるセル・キャパシタとの1つ又はそれ以上の対を含む。FERAMメモリ・セルの不揮発性は、セル・キャパシタの強誘電性誘電体材料の双安定(bi−stable)又は多安定(multi−stable)特性に起因し、この強誘電性材料は多数の電気的に区別し得る安定状態を有している。強誘電性メモリは大抵、単体メモリ集積回路(IC)に及び/又はオンボード不揮発性メモリ、マイクロプロセッサ、DSP、通信チップなどを有する論理回路などの他の半導体製品に製造される。強誘電性メモリ・セルは、典型的に、折り返しビット線、開放型ビット線などのアレイ・アーキテクチャに構成され、個別のセルは、アドレス復号器回路からのプレート線及びワード線信号によって選択され、このデータは、ラッチ又はセンスアンプ回路を用いてビット線に沿ってセルから読み出されるか又はセルへ書き込まれる。典型的な1T1Cメモリ・セルにおいて、強誘電性キャパシタはプレート線信号とMOSセル・トランジスタのソース/ドレインとの間に結合され、他方のソース/ドレインはビット線に接続され、トランジスタ・ゲートはワード線制御信号に接続されて、読み出し及び書き込み動作の間キャパシタをビット線に選択的に結合する。
強誘電性メモリアレイは、典型的に、CMOS論理回路と共にデバイス・ウエハに構成され、セル・トランジスタは、そのデバイス内に論理トランジスタと同時に形成され、強誘電性キャパシタは、ウエハ基板の上のキャパシタ層に構成される。例えば、強誘電性セル・キャパシタの構成は、トランジスタ形成の後(例えば、標準の「フロントエンド」処理の後)、及び金属化又は相互接続処理の前(例えば、「バックエンド」処理の前)のCMOS製造プロセス・フローに統合されてもよい。強誘電性キャパシタのCMOSプロセス・フローへの典型的な統合において、半導体本体上/内にトランジスタが形成され、トランジスタの上にPMD(pre−metal dielectric)層が、そのPMDレベル誘電体を介してトランジスタのゲート及びソース/ドレイン端子へと延びるタングステン・コンタクトを含んで構成される。その後、強誘電性セル・キャパシタが、PMDレベルの上の第1のレベル間又は層間誘電体層(例えば、ILD0)に構成され、ここで、セル・キャパシタ電極の一方(例えば、下部又は底部電極)は、タングステンPMDコンタクトの1つを介してセル・トランジスタ端子(例えば、典型的に、ソース/ドレイン)に接続され、他方のキャパシタ電極(頂部又は上部電極)及び残りのトランジスタ端子の他の構成要素(例えば、信号配線)との相互接続は、ILD0レベルより上の1つ又はそれ以上の金属化層又はレベルに提供される。
強誘電性セル・キャパシタを最初のILD0レベル又は他のレベルに構成する際、上部キャパシタ電極と下部キャパシタ電極との間のリークだけでなく、下部電極の上に形成される強誘電性材料の結晶化度(crystallinity)及び配向を最小化することが重要である。しかし、従来の強誘電性セル製造手法は、大抵、特にキャパシタ寸法が一層小さく縮小される場合、許容しがたいレベルのリーク及び強誘電性セル・キャパシタの分極性能の劣化を招いており、そのため、半導体デバイスの製造において強誘電性キャパシタを製造するための改良された方法が必要とされている。
本発明の1つ又はそれ以上の側面を基本的に理解するために、簡略化した概要を以下に示す。この概要は、後に示す更に詳細な説明の前置きとして、本発明の1つ又はそれ以上の概念を簡略化した形式で示しており、本発明の包括的な全体像ではない。この点で、この概要が本発明の要所または決定的な要素を明らかにすることも、この概要が本発明の範囲を正確に記述することも意図していない。強誘電性セル・キャパシタ積層構造をパターニングするために用いられるエッチング・プロセスは、強誘電性材料の損傷を引き起こす可能性がある。本発明者らは、従来のポスト・エッチ・クリーニング・オペレーションは、強誘電性材料の損傷及びキャパシタ・リークの緩和を促進させ得るが、これらの問題点、特に、寸法がますます小さな寸法に縮小される場合のキャパシタ・リークは、強誘電性キャパシタを用いる半導体デバイスの製造において問題として残ることを見出している。本発明は、エッチングされた強誘電性キャパシタ構造が、上に重なる誘電体(ILD)材料を形成する前にクリーニングされる、半導体製造手法に関連する。強誘電性キャパシタ構造を製造するための、及び半導体デバイス内のパターニングされた強誘電性キャパシタ構造をエッチング及びクリーニングするための方法が提供され、これらにより、キャパシタ・リーク及び他の性能劣化が緩和され得る。
本発明の1つの側面において、半導体デバイス内に強誘電性キャパシタ構造を製造するための方法が提供され、この方法は、誘電性材料の上に下部電極拡散障壁構造を形成し、更に、下部電極拡散障壁構造の上に下部電極を、下部電極の上に強誘電性材料を、及び強誘電性材料の上に上部電極を形成することを含む。その後、パターニングされたエッチング・マスクが上部電極の上に提供され、上部電極の一部を露出させる。この方法は更に、上部電極、強誘電性材料、及び下部電極の一部をエッチングして、パターニングされた強誘電性キャパシタ構造を画定し、パターニングされたエッチング・マスクを用いて、下部電極拡散障壁構造の一部及びエッチングすることを含む。また、この方法は、パターニングされた強誘電性キャパシタ構造を、第1のアッシング・プロセスを用いてアッシングし、第1のアッシング・プロセスの後、ウェット・クリーニング・プロセスを実行し、ウェット・クリーニング・プロセスの直後、パターニングされた強誘電性キャパシタ構造を、第2のアッシング・プロセスを用いて酸化雰囲気中で高温でアッシングすることを含む。一例において、第2のアッシング・プロセスは、ウェット・クリーニングの後、Oガス・フローを用いて、約摂氏300度又はそれ以上の温度で2分から3分間実行される。1つの実施例において、第1のアッシング・プロセス、ウェット・クリーニング・プロセス、及び第2のアッシング・プロセスは、下部電極拡散障壁構造をエッチングした後に実行され、代替の実施例では、下部電極拡散障壁構造は、第1のアッシング・プロセス、ウェット・クリーニング・プロセス、及び第2のアッシング・プロセスの前にエッチングされる。
本発明の別の側面は、半導体デバイス内の強誘電性キャパシタ構造をエッチング及びクリーニングする方法を提供し、この方法は、上部電極、強誘電性材料、及び下部電極の一部をエッチングして、パターニングされた強誘電性キャパシタ構造を画定し、下部電極拡散障壁構造の一部をエッチングし、パターニングされた強誘電性キャパシタ構造を第1のアッシング・プロセスを用いてアッシングし、第1のアッシング・プロセスの後ウェット・クリーニング・プロセスを実行し、及びウェット・クリーニング・プロセスの後、パターニングされた強誘電性キャパシタ構造を、第2のアッシング・プロセス用いて、酸化雰囲気中で約摂氏300度など又はそれ以上の高温でアッシングし、ウェット・クリーニング・プロセスと第2のアッシング・プロセスとの間に材料形成処理がないことを含む。
以下の説明及び添付の図面は、本発明の或る例示の側面及び実施例を詳細に説明する。これらは、本発明の原理が用いられ得る様々な方法のうちの幾つかを示すに過ぎない。
本発明を、添付の図面を参照して説明し、これらの図面では、全体を通して同様の参照番号は同様の要素を示している。本発明は、エッチングされた強誘電性キャパシタ積層構造の高温アッシング・プロセス及びウェット・クリーニングの利用に関連し、これらにより、半導体デバイスの製造におけるキャパシタ・リークが緩和され得る。本発明は、任意の種類の半導体デバイス、例えば、強誘電性セル・キャパシタを備えたメモリ・セルを有するデバイス、又は強誘電性キャパシタが用いられる集積回路などの他のデバイスにおいて実施され得る。本発明の種々の側面及び利点を図面と関連させて本明細書に図示及び説明するが、図示する構造は必ずしも正確な縮尺で描かれていない。
図1A及び1Bは、本発明の種々の側面に従って、シリコン基板4を含むウエハに形成される半導体デバイス2内にセル・トランジスタT及び強誘電性キャパシタCを備えた、例示の強誘電性メモリ・セル(1T1C)を図示する。例示のデバイス2は、折り返しビット線アレイに構成され得る1T1Cセル構造を用いるが、本発明の種々の側面は、如何なる特定のセル・タイプ又はアレイ・アーキテクチャにも制限されず、代わりに2T2Cセル又は他のセル・タイプと共に用いられてもよく、全てのこのような代替の実施例は、本発明及び添付の特許請求の範囲に包含されると考えられる。図1Aに図示するように、セル・トランジスタTは、基板4の導電性ゲート電極とチャネル領域との間にゲート誘電体を有するゲート構造10を含み、STI絶縁構造8間に位置する能動領域のチャネルの両側にソース/ドレイン6が形成され、トランジスタ・ソース/ドレイン6及びゲート10の上に導電性珪化物構造7が形成される。
PMD(pre−metal dielectric)14が、基板4の上にセル・トランジスタTを覆うように供給され、PMD層14には、任意の適切な誘電性材料及び厚みを用いることができる。任意の適切な材料及び寸法(例えば、タングステン(W)、ポリシリコン、又は他の導電性材料)を用いて、導電性記憶ノード・コンタクト16a及び導電性ビット線コンタクト16bが、PMD層14を介して形成されて、セル・トランジスタ・ソース/ドレイン6の珪化物構造7と接続し、ここで、ゲート10の導電性ポリシリコン電極が、図示したデバイス2のメモリ・アレイ・ワード線接続を形成する。垂直の強誘電性キャパシタCは、セル記憶ノード・ソース/ドレイン・コンタクト16aの上(例えば、PMDレベルの上)に形成され、二層下部電極拡散障壁構造30a、30b、及び二層下部又は底部電極18a、18b、その上を覆う強誘電性材料20(この例ではPZT)、及びPZT20の上の二層上部又は頂部電極22を含む。パターニングされた強誘電性キャパシタCの上に多層側壁又は上部拡散障壁46が形成され、多層側壁又は上部拡散障壁46は、アルミニウム酸化物材料AlO及びシリコン窒化物材料SiNを含む。第1のレベル間又は層間誘電体層(ILD0)24が障壁46の上に形成され、導電性コンタクト26が誘電体24を介して(及び障壁46を介して)形成されて上部キャパシタ電極22(プレート線)と及びPMDレベル14のビット線コンタクト16と結合し、デバイス2は、更に上に重なる金属化層又はレベル(図示せず)を含んでいてもよい。
図1Bは、例示の強誘電性キャパシタCの更なる詳細を図示し、強誘電性キャパシタCは、多層上部(頂部)電極22a、22b(総称して22と呼ぶ)と多層下部(底部)電極18a、18b(18と総称する)との間の垂直のキャパシタ積層構造に挟まれたPZT強誘電性材料20を含み、キャパシタ積層エッチ・ハードマスク32の残った部分が、上部電極22とILD0プレート線コンタクト26との間に位置する。下部電極拡散障壁30a、30b(30と総称する)の少なくとも一部が、PMD誘電体14の記憶ノード・コンタクト16aの上に形成される。本発明の範囲内で、任意の適切な単層又は多層の上部及び下部電極又は拡散障壁を用いることができる。キャパシタ誘電体20の後続の処理の間、PMDコンタクト16aを保護するため、導電性拡散障壁30が、下部電極層18a及び18bの蒸着の前に記憶ノード・コンタクト16aの上に形成される。導電性障壁30は、コンタクト16aの劣化を防ぐ又は抑制する任意の適切な導電性材料又は複数の材料、例えば、TiAIN、又は、TaSiN、TiSiN、TiN、TaN、HfN、ZrN、HfAIN、CrN、TaAlN、CrAlN、又は任意の他の導電性材料、又はそれらの積層又は組み合わせを含む、他の候補となり得る障壁(これらのうち幾つかは、TiNに比べ酸化速度が遅い)などであってよく、障壁30a、30b(30と総称する)は、一例において、約100nm又はそれより薄い厚みを有するなど、薄いことが好ましい。デバイス2の例示の下部電極障壁30は、任意の適切な厚み(例えば、一例では約40nm)のTiNの第1の障壁層30a、及び図示した実施例の約30nmなどの任意の適切な厚みのTiAlNの第2の障壁層30bを含む。代替として、第2の障壁層30bは、TiAlONであってもよく、又は、1つの候補となり得る実施例において約60nmの厚みを有するTiAlNなど、単一の障壁層30をコンタクト16aの全体又は一部の上に形成することもできる。
下部電極層18は、その下にあるコンタクト16aと電気的に接続するように障壁30の上に形成される。一例において、下部電極18は、約25〜100nmの全厚を有し、酸素内で安定であり、貴金属、又はIr、IrO、Pt、Pd、PdO、Au、Ru、RuO、Rh、RhO、LaSrCoO、(Ba,Sr)RuO、LaNiOなどの導電性酸化物、又はそれらの積層又は組み合わせを含むが、他の材料も用いられ得る。PZT材料が強誘電体20に用いられる場合、適切な底部電極18の例には、50nmのIr、或いは30nmのIrO及び20nmのIrで構成される積層が含まれる。例示のデバイス2では、下部イリジウム(Ir)層18aは、障壁30b上に、図示した例の約20nmなどの任意の適切な厚みまで形成される。その後、下部イリジウム酸化物(IrO)層18bが、下部Ir層18aの上に、図示した実施例の約30nmなどの任意の適切な厚みまで形成される。IrO層18bは、上に重なるPZT材料20内のキュアリング酸素欠損によるスイッチング耐久(switching endurance)疲労特性を改善するように有利に機能し得、PZT20の形成の間、IrO層18bの減少(例えば、酸素含有量の損失)を防止又は緩和することが望ましい。
例示の強誘電性材料20は、例えば、約300から1000Å、好ましくは一例の約700Å、の任意の適切な厚みを有するPZTであり、PZTは、有機金属化学気相蒸着(MOCVD)などの任意の適切な蒸着方法又は他の材料形成手法によって、好ましくは、IrO材料18bの減少を防止又は抑制する方式で、デバイス2内に形成され得る。PZTキャパシタ誘電体20の所望の材料特性を提供するために、任意のポスト蒸着高速熱アニール(RTA)が用いられてもよい。任意の適切な強誘電性材料20を用いることができ、本発明はPZTに限定されない。
上部電極22は、PZT20の上に約100nmなど又はそれより薄い任意の適切な厚みまで形成される上部IrO層22a、及び上部IrO層22aの上に約100nmなど又はそれより薄い任意の適切な厚みまで形成される上部Ir層22bを含む。パターニングされた強誘電性キャパシタ積層構造Cをエッチングする際に用いるため、上部Ir層22bの上にハードマスク32が形成され、このハードマスク32はTiN、TiAlNなど任意の適切な材料であり得る。PZT強誘電性材料20と組み合わせて、上部IrO層22aの代わりに他の材料で代替することもでき、その際、多数の相対する状態の書き込み/読み出しオペレーション(疲労)による劣化を最小にするため、単一の純貴金属ではなく、IrO、RuO、RhO、PdO、PtO、AgO、(Ba,Sr)RuO、LaSrCoO、LaNiO、YBaCu7−xなどの導電性酸化物頂部電極を有することは利点となる。また、本発明の厳密な要求条件ではないが、上部電極構造のその後形成されるプレート線コンタクト26及びハードマスク32への接続に低抵抗を提供するため、上部酸化物層22aの上に上部Ir層22b又は別の適切な貴金属層を有することは利点となる。
導電性ハードマスク32は、上部電極22の上に蒸着され、その後、任意の適切なリソグラフィ手法を用いてパターニングされる。その後、パターニングされたハードマスク32は、上部及び下部電極及びPZT20を選択的にエッチングする際に用いられて、図1Bに示すようなパターニングされた垂直の強誘電性キャパシタ構造Cを画定する。また、その単一のマスク32が、露出された下部電極拡散障壁二層構造30a、30bをエッチングするために例示のデバイス2に用いられるが、この単一の積層エッチング・マスク32の利用は、デバイス2内の強誘電性キャパシタCの寸法の縮小を促進する。ハードマスク32は、任意の適切な単層又は多層材料であってもよく、キャパシタ積層構造パターニングの後、上部電極の上に残っている必要はない。例示のデバイス2では、単層のTiN又はTiAlN32が、上部Ir層22bの上に形成され、パターニングされ、強誘電性キャパシタ構造Cをエッチングする間、エッチング・マスクとして用いられる。
デバイス2は更に、パターニングされたキャパシタ積層構造Cの上に形成される単層又は多層の上部又は側壁拡散障壁46を含み、これは、後続の製造処理の間、PZT材料20への水素拡散を抑制するよう機能する。例示のデバイス2では、水素障壁46は、パターニングされたキャパシタCの上に形成されるアルミニウム酸化物(AlO)第1層、及びAlOの上に形成されるシリコン窒化物(SiN)第2上部拡散障壁層を含む。その後、上部障壁46の上にILD0材料24が形成され、キャパシタCの上部電極への接続(例えば、プレート線接続)のため導電性コンタクト26がILD024を介して形成され、このプレート線コンタクト26は、図1Bに示すように、残っているハードマスク32の一部を介してIr層22bに結合されてもよく、或いは上部Ir22bに直接接続されてもよい。
ここで図2から図6Iを参照すると、図2、図3、及び図5は、本発明の1つ又はそれ以上の側面に従って、例示の半導体デバイス製造プロセス・フロー又は方法100の2つの例示の実施例を図示し、図4Aから図4T及び図6Aから図6Iは、製造の種々の段階の処理を受ける例示のデバイス2を図示する。図2、図3、及び図5の方法100は一連の行為又は事象として図示及び説明されているが、本発明は、このような行為又は事象の説明される順序に制限されないことを理解されたい。例えば、幾つかの行為は、本発明に従って、異なる順序で、及び/又は本明細書に図示及び/又は説明されたものとは別の他の行為又は事象と同時に成されてもよい。また、本発明に従った方法を実施するために、図示した全ての工程が必ずしも必要とされるわけではない。
更に、本発明の方法は、本明細書に図示及び説明されるデバイスの製造に関連して実施され得るだけでなく、図示されていない他のデバイス及び構造に関連して実施されてもよいことに注意されたい。例えば、例示の方法100は、上述の例示の半導体デバイス2、又は他の強誘電性メモリ・デバイス及びその強誘電性キャパシタを製造する際に用いられ得る。また、以下の例は、PZT強誘電性材料及びIr/IrO電極材料を用いて形成される例示の強誘電性キャパシタを図示するが、本発明は、任意の適切な誘電性材料及び電極材料と共に製造される強誘電性キャパシタに関連して用いることができ、そのような全ての変形の実施例は、本発明の範囲に含まれると考えられる。
また、例示の半導体デバイスは、本明細書では、フロントエンド・コンタクト形成後及び上に重なる金属化レベルの形成前に、誘電体層又はレベル(図1A及び1BのILD024)に形成される強誘電性キャパシタCと共に示されているが、本発明の種々の側面は、例えば、強誘電性キャパシタがマルチレベル半導体デバイス設計の任意のレベルに形成される、製造プロセスの他の地点で用いることができる。更に、本発明は、任意の種類の半導体本体の上又は中に製造される半導体デバイス(例えば、集積回路)に用いられ得、これらは、シリコン基板(例えば、図1A、図1B、図4Aから図4T、及び図6Aから図6Iのデバイス2内の半導体本体4など)、SOIウエハ、基板の上に形成されるエピタキシャル層などを含むが、これらに限定されない。この点で、本発明は、本明細書に図示及び/又は説明される例に制限されず、全てのこのような代替の実施例が本発明の範囲及び添付の特許請求の範囲に含まれることを意図している。
図2は、128にキャパシタ積層エッチング及びクリーニングを含む方法100を図示し、128の処理の1つの例示の実施例が、図3に更に図示されている。図4Aから4Tは、順に、更に以下に説明するように、図2及び3の実施例に従って処理されるデバイス2を示す。また、図5は、図2の128のキャパシタ積層エッチング及びクリーニングの代替の実施例を提供し、図6Aから6Iは、図2及び図5の例示の実施例に従ったデバイス2の製造を示す。
図2において、方法100は102で開始し、104で、半導体本体4のn及びpウェルの形成、及びウエハのフィールド領域の絶縁構造(例えば、図4AのSTI(shallow trench isolation)構造8又はシリコンの局所酸化(LOCOS)手法を用いて形成されるフィールド酸化物構造)の形成など、フロントエンド処理が実行される。106で、論理又はアナログ回路用及び強誘電性メモリ・セル用にトランジスタが形成される(例えば、例示のメモリ・セル・トランジスタTが図4Aの半導体本体4に形成される)。108で、珪化物構造7がトランジスタ端子(例えば、ソース/ドレイン及びゲート)に形成され、本明細書ではPMD(pre−metal dielectric)(図4AのPMD層14)と呼ぶ、最初の誘電性材料がトランジスタの上に形成され、セル・トランジスタ・ビット線ソース/ドレイン6での珪化物7への接続のため、及び、その後形成される強誘電性セル・キャパシタとの記憶ノードでの接続のためのソース/ドレイン6での珪化物7への接続のため、導電性コンタクト16(例えば、タングステン、ポリシリコン、又は他の導電性材料)がPMD層を介して形成される。例示のデバイス2では、ゲート10は、ワード線構造を形成し、ここで、コンタクト16は、図4Aに図示するように、必ずしも個別のトランジスタ・ゲート10の真上に形成される必要はない。最初のPMD層14を形成する際に任意の所望の厚みの任意の適切な誘電性材料14を108で用いることができる。1つの候補となり得る実施例において、PMD材料14の一部を選択的にエッチングするため、選択的エッチング・プロセス(図示しないが、反応性イオン・エッチング又は適切なエッチング・マスクを用いた他の適切なエッチング・プロセス)が108で用いられ、これにより、図4Aに図示するように、導電性コンタクト16a及び16bを形成するためタングステン又は他の導電性材料16がその中に供給される、開口部が形成される。
110〜122において、強誘電性キャパシタ層が、PMD層14及びそのコンタクト16の上に形成され(図4B〜4H)、これらは、上部及び下部導電性キャパシタ電極及び拡散障壁層30、18、22、及び電極層18と22との間の強誘電性材料(PZT)層20の形成を含む。その後、124及び126で、導電性積層エッチング・ハードマスクが形成され、パターニングされる(図4I及び4J)。単層又は多層を含む、強誘電性キャパシタ誘電体、電極、及び障壁層を形成する際に、一般に、任意の適切な材料、材料厚み、及び層形成プロセスが本発明の範囲内で用いられ得る。
図示した実施例では、二層導電性底部又は下部電極拡散障壁構造30が、110及び112でまず形成され、110で、PMD誘電体14及びPMDタングステン・コンタクト16の上に、スパッタリング、化学気相蒸着(CVD)、又は他の適切な材料蒸着プロセス152を介して約4nmの厚みまで形成されるTiN層30aを含み(図4B)、これらに限定されないが、TaSiN、TiSiN、TiN、TaN、HfN、ZrN、HfAIN、CrN、TaAlN、CrAlN、又は任意の適切な厚みまで形成される任意の他の導電性材料30を含むが、他の材料及びプロセスも用いられ得る。例示の方法100では、TiN層30aの形成のために用いられる蒸着プロセス152は、Ar+N又はAr+NHを用いる反応性スパッタ蒸着であるが、プロセス152のArの代わりに他の不活性ガスで代替することもできる。他の適切な蒸着手法152は、化学気相蒸着(CVD)又はプラズマ・エンハンストCVD(PECVD)を含み得る。更に図4Cを参照すると、タングステン(W)がコンタクト16に用いられる場合、例示のデバイス2のように、二層拡散障壁30a及び30bを蒸着することが好ましい。図2の112で、TiAlN又はTiAlON層30bが、図4Cに図示するように、蒸着プロセス160を介してTiN層30aの上に蒸着される。層30bは、112で、図示した実施例の約30nmなどの任意の適切な厚みまで形成され得る。任意の適切な蒸着プロセス160が112で用いられ得、物理気相蒸着(PVD)、CVD又はPECVD蒸着を含むがこれらに限定されず、TiAlNの好ましいアルミニウムの割合は約30〜60%Alであり、改良された酸化抵抗を有するために更に好ましくは、約40〜50%である。
その後、114及び116で下部電極層18が形成される。114で、図4Dに示すように、蒸着プロセス162を介して障壁30の上に下部電極金属層18aが形成される。例示のデバイス2では、層18aは、スパッタ蒸着プロセス162によって、摂氏450度より低い蒸着温度で約20nmの厚みまで蒸着されたIrであるが、114でCVD又はPVDプロセス及び他の材料及び厚みを代替として用いることもできる。層18aに用いられ得る他の適切な導電性材料は、IrO、Pt、Pd、PdO、IrPt合金、Au、Ru、RuO、(Ba,Sr,Pb)RuO、(Sr,Ba,Pb)IrO、Rh、RhO、LaSrCoOなど、又はそれらの任意の積層又は組み合わせを含むが、これらに限定されない。その後116で、図4Eに図示するように、PVD又はスパッタ蒸着プロセス164を用いて、例示のデバイス2では約30nmの厚みまで蒸着された下部IrOなどの金属酸化物層18bが形成される。一般に、図示したデバイス2の上部及び下部電極18及び22は、どちらもイリジウム及びイリジウム酸化物(Ir及びIrO)を含む二層であり、電極のIrO層18bと22aとの間にはチタン酸ジルコン酸鉛(PZT)強誘電性材料20が形成され、Ir18aの第1層及び上に重なるIrO層18bは、図示したデバイス2の障壁層30a及び30bと共に用いられるが、これらの層について、本発明は如何なる特定の材料又は厚みにも制限されない。
更に図4Fを参照すると、その後、118で、下部IrO層18bの上にPZT強誘電性材料20が形成される。材料20は、任意の適切な強誘電性材料を用いる有機金属化学気相蒸着(MOCVD)など、任意の適切な蒸着プロセス172を用いて、118で下部電極材料18bの上に蒸着され、任意の適切な蒸着プロセス172は、Pb(Zr,Ti)O(チタン酸ジルコン酸鉛:PZT)、ドナー(Nb、La、Ta)アクセプタ(Mn、Co、Fe、Ni、Al)及び/又は両方を有するドープされたPZT、又はSrTiO、BaTiO又はCaTiOでドープされた及び合金化されたPZT、又はそれらの積層又は組み合わせ、又は任意の所望の厚み(例えば、300から1000Å、図示した例では好ましくは約700Å)まで形成された他の(例えば、PZTではない)強誘電性材料を含むが、これらに限定されない。
ここで図2及び図4Gを参照すると、PZT材料20の蒸着の後、120〜122で、その上に貴金属層を有する、Ir、IrO、RuO、RhO、PdO、PtO、AgO、(Ba,Sr)RuO、LaSrCoO、LaNiO、YBaCu7−X、又はそれらの積層又は組み合わせなど、任意の適切な導電性材料又は複数の材料を用いて、頂部電極22が形成される。図示したデバイス2では、上部電極22は、PZT20の上に形成される上部IrO層22a及びIrO層22aの上に形成されるIr層22bを含む二層であり、電極層22は、120及び122で、本発明に従った任意の適切なプロセスを用いて任意の所望の厚みまで形成され得る。図示した例では、上部IrO層22aは、120で、Ar+O中のスパッタ蒸着プロセス又は反応性PVDプロセス174を介して、強誘電性材料20の上面上に約100nm又はそれより薄い厚み(例えば、一例では約30nm)まで形成される(図4G)。この実施例において、Pbベースの強誘電体20の場合、多数の相対する状態の書き込み/読み出しオペレーション(疲労)による劣化を最小にするため、PZT20のすぐ上に純貴金属ではなく、IrO、RuO、RhO、PdO、PtO、AgO、(Ba,Sr)RuO、LaSrCoO、LaNiO、YBaCu7−Xなどの導電性酸化物頂部電極を有することが有利となる。また、第1の上部電極材料22aが酸化物である場合、その後形成される金属プレート線コンタクト26と酸化物22aとの間の低コンタクト抵抗を維持するのを促進するため、その上に貴金属層22bを有することが利点となる。このため、例示の方法100では、上部Ir層22b又は他の適切な金属が122で蒸着され、例示の上部Ir層22bは、図4Hに示すように、蒸着プロセス182を用いてデバイス2の上部IrO22aの上に約100nm又はそれより薄い厚みまで蒸着される。任意の適切な蒸着プロセス182、導電性材料22b、及び厚みを122で用いることができ、例示のプロセス182は、約20nmのIr22bを形成するためAr中でのPVD蒸着である。
更に図4Iを参照すると、124で、蒸着プロセス183を介して、TiN、TiAlN又は他の適切な導電性材料のハードマスク層32が形成され(例えば、上部Ir層22bの上に蒸着される)、ハードマスク32は、任意の適切な厚みの単層又は多層構造であり得る。図4Jに図示するように、その後、ハードマスク材料32は、後続のキャパシタ積層構造のエッチングにおいてエッチング・ハードマスクとして機能するように、下にある層22、20、18、及び30の、デバイス2のキャパシタ領域となり得る部分を覆うようにパターニングされ、デバイス2の残りを露出させる。このようにして、ハードマスク材料32は、128のエッチング・プロセスを実行する前に、キャパシタCの所望の最終的な寸法(面積)及び形状に従ってパターニングされる。
128で、パターニングされたハードマスク32を用いるエッチングによって、キャパシタ積層構造が画定され、図3は、本発明に従った128のエッチング及びクリーニング処理の1つの例示の実施例を図示する。特に、発明者らは、キャパシタ電極及び誘電体層がパターニングされた(例えば、エッチングされた)後、酸化雰囲気中で第1のアッシング・オペレーション、ウェット・クリーニング・オペレーション、及び第2のアッシング・オペレーションを(ウェット・クリーニングと第2のアッシングとの間に介在する蒸着工程がない状態で)実行することにより、強誘電性キャパシタ・リークの量が低減されることを見出しており、図3及び図4Kから図4Qのエッチング及びクリーニング実施例は、これらのクリーニング工程を下部電極拡散障壁層30のエッチングの後実行し、図5及び図6Aから図6Iの実施例は、これらのクリーニング工程を、下部電極18と下部電極拡散障壁30のエッチングの間に実行する。
ここで図3及び図4Kを参照し、キャパシタ電極及び強誘電性材料層22、18、及び20は、個別のエッチング・プロセス及び個別のマスクを用いてエッチングされてもよく、又はこれらは、本発明の範囲内で単一のプロセス及び単一のマスク32を用いてエッチングされてもよい。例示のデバイス2では、図4Kに示すように、単一のエッチング・マスク32が、上部電極層22a及び22bの露出された部分の除去で開始する反応性イオン・エッチング(RIE)プロセス184と関連して、図3の140〜143で用いられる。141で、強誘電性材料20の露出された部分は、プロセス184(図4L)によって取り除かれる。プロセス184は下部電極層18の露出された部分を取り除くため142で継続し、これにより、図4Mに図示するように、パターニングされた強誘電性キャパシタ構造Cが画定される。この実施例では、その後、エッチング・プロセス184は、図4Nに示すように、下部電極拡散障壁層30の露出された部分を取り除くため143で継続し、エッチング・プロセス184のエッチング化学物質(chemistry)及び設定は層毎に調節されてもよく、又は個別のエッチング・プロセスが用いられてもよい。なお、キャパシタ構造層をパターニングする際に任意の適切なエッチング・プロセス又は複数のプロセスを用いることができ、本発明は、反応性イオン・エッチング手法に制限されないことに注意されたい。
その後、本発明に従って、144〜146でポスト・エッチ・クリーニングが実行される。144で、パターニングされた強誘電性キャパシタ構造Cが、第1のアッシング・プロセス185(図4O)を用いてアッシングされ、これは、本発明の範囲内の任意の適切なアッシング・プロセスであり得る。一例において、第1のアッシング・プロセス185は、キャパシタ積層エッチング・プロセス184で生じた残留粒子を取り除くため、約480秒間、約1400Wの電力、及び約2000mTの圧力下で、約3500/500sccmの流量の酸素(例えば、O+N)を用いる、約摂氏250度のチャック温度でのプラズマを用いるが、任意の適切なアッシング・プロセス及びパラメータを本発明の範囲内で用いることができる。
145で、積層構造Cを更にクリーニングするため、脱イオン(DI)水、又は適切な濃度のリン酸又は硫酸水を含むがこれらに限定されない、任意の適切な流体を用いて、ウェット・クリーニング・プロセス186が実行され(図4P)、例示のウェット・クリーニング・オペレーション186はほぼ室温で実行され、或いはわずかに高めた温度で実行されてもよい。任意の適切なウェット・クリーニング・プロセス186を145で本発明の範囲内で実行することができる。
その後、第2のアッシング・オペレーション188が146で実行され(図4Q)、ウェット・クリーニング・プロセス186と第2のアッシング・プロセス188との間に介在する材料形成工程はない(例えば、第2のアッシングは、145のウェット・クリーニングの直後に146で実行される)。例示の方法100では、第2のアッシング・プロセス188は、約210秒間、約1500WのRF電力、及び約1000mTの圧力下で、約4000sccmの流量の酸素(O)(例えば、酸化雰囲気)を用い、一例の摂氏300〜350度など約摂氏300度又はそれ以上のチャック温度でのプラズマを用いるが、本発明はこれらの特定の設定値に制限されない。用いられ得る例示のアッシング・ツールは、Fusion200MCであるが、他のツールも用いられ得、本発明で考慮されている。第2のアッシング・プロセス188は、任意の適切なアッシング・ツール又は装置を用いて実行され得、アッシング・オペレーション188での高電流、低エネルギー・プラズマの提供を促進するため、リモートRFプラズマ(例えば、13.5MHz)及び/又は電子サイクロトロン共鳴(ECR)プラズマ・アッシング(例えば、2GHz)を含み得る。図4Nに示すように、ハードマスク32の一部がエッチング・プロセス184の終了後に残っていてもよく、或いはハードマスク32は、エッチング184によって又は後続のクリーニング・オペレーション185、186、及び/又は188によって、完全に取り除かれてもよいことに注意されたい。発明者らは、146で第2のアッシング・プロセスを実行することが、強誘電性キャパシタ・リークを低減させるように作用し、酸化を介するなど強誘電性材料の修復を提供する助けともなり得ることを見出している。
本発明の別の例示の側面において、アッシング・プロセスは、Oの物理的ボンバードを増加させるため、基板バイアス及び一層低い圧力を用いることによって更に修正され得る。物理的ボンバードが大きくなると、酸化の際及び/又は強誘電性キャパシタの側面の残留物を取り除く際に有効となり得る。このような場合の例示のプロセス条件には、約摂氏250〜350度の温度の約1000/200sccmの流量のO/N中で、約120秒の持続時間の1500Wのリモート・プラズマ電力、200Wの基板プラズマ電力、500mTorrの圧力が含まれる。
図2に戻り更に図4Rを参照すると、その後、デバイス2の後続の(例えば、バックエンド)処理における強誘電性材料20への水素拡散を防ぐ又は抑制するため、130で、適切な蒸着プロセス又はプロセス190(図4R)を介して、パターニングされた強誘電性キャパシタCの上に、任意の単層又は多層水素拡散障壁46が形成される(図2)。一例において、障壁46は、約30nm又はそれより薄い厚みを有し、AlO、Ta、AlN、TiO、ZrO、HfO、又はそれらの任意の積層又は組み合わせの第1層、及び約30nm又はそれより薄い厚みを有する、SiN、AlN、又はそれらの積層又は組み合わせを含む第2の障壁層(例えば、上述の図1Bのデバイス2のAlO及びSiN層46)を含み、障壁層46は、本発明に従って任意の適切な処理によって形成されてもよく或いは省かれてもよい。また、図示した例では、AlO層は、鉛(Pb)及び水素(H)拡散障壁として機能し、一方、シリコン窒化物(例えば、Si)層はその後、コンタクト・エッチ・ストップとして用いられる。この例では、AlOは、パターニングされた強誘電性キャパシタ積層Cの上に、原子層蒸着(ALD)190を用いて130で蒸着されるが、パターニングされたキャパシタ構造CのPZT材料20と反応しない他の蒸着手法及び材料が代替として用いられてもよい。その後、130で、PECVD又は他の適切な蒸着プロセス190を用いて、AlO層の上に、シリコン窒化物(Si)の蒸着によって第2の水素障壁層が形成される。
130の上部拡散障壁46の形成の後、レベル間誘電体(例えば、ILD0)が132で蒸着され(図4Sの層24)、その後、レベル間誘電体は、上部強誘電性キャパシタ電極22への、及び下にある最初のPMD層14内の事前に形成されたビット線コンタクト16bへの電気的結合のため、選択的にエッチングされてバイア/コンタクト開口部を形成する。開口部は、図4Sに示すように、その後、導電性材料(例えば、銅、アルミニウム、タングステン、又は他の導電性材料)で充填されて、ILD0層内のビット線及びキャパシタ・プレート線コンタクト又はバイア26を形成する(例えば、キャパシタレベルのILD0バイア(V0))。ILD材料24は、二酸化シリコン(SiO)、FSG、又は他の適切な誘電体であり得る。その後、図4Tに示すように、134で更なる金属化レベルが形成され得、これらは導電性プレート線配線構造82及びILD1ビット線バイア84を備えた別のILD材料80(例えば、ILD1レベル)、及びその上に重なるILD2誘電体90を含み、ILD2誘電体90には導電性(例えば、銅)のビット線配線構造92が形成されており、その後、他のバックエンド処理が実行されて(図示せず)デバイス2を完成させ、例示の製造方法100は136で終了する。
本発明の別の候補となり得る実施例が図5及び図6Aから図6Iに示されており、図5は、図2の128のキャパシタ積層エッチング及びクリーニングの代替の実施例を図示し、図6Aから図6Iは、図2及び図5の実施例に従ったデバイス2の製造を示す。図2の126でハードマスクがパターニングされた後、図5の200で128のキャパシタ積層エッチング及びクリーニングが開始し、上部電極層22a及び22bの露出された部分を先ず取り除くため、エッチング・プロセス184が開始される(図6A)。201で、強誘電性材料20の露出された部分がプロセス184によって取り除かれ(図6B)、202で、下部電極層18の露出された部分を取り除くためエッチング184が継続し(図6C)、これによりパターニングされた強誘電性キャパシタ構造Cが画定される。
この実施例では、その後、本発明に従って下部電極拡散障壁構造30の露出された部分が取り除かれる前に、203〜205でポスト・エッチ・クリーニングが実行される。203で、第1のアッシング・プロセス191が実行される(図4O)、これは本発明の範囲内の任意の適切なアッシング・プロセスであり得る(例えば、上述の図4Oの例示の第1のアッシング・プロセス185など)。204で、任意の適切な流体(例えば、脱イオン(DI)水、リン酸、硫酸、など)を用いて図4Pのウェット・クリーニング・プロセス192が実行される。その後205で、図6Fの第2のアッシング・オペレーション193が実行され、プロセス192と193との間に介在する材料形成工程はない。図5及び図6Aから図6Iの実施例では、例示の第2アッシング・プロセス193は、約210秒間、約1500WのRF電力、及び約1000mTorrの圧力下で、約4000sccmの流量の酸素(O)(例えば、酸化雰囲気)を用い、約摂氏300度又はそれ以上のチャック温度(例えば、約300〜400)でのプラズマを用いるが、本発明は、上記のプロセス条件に制限されない。また、用いられ得る例示のアッシング・ツールは、Fusion200MCである。上記の例では、タングステン(W)コンタクトが露出されないため、アッシング・プロセスは、前述の例よりも更に一層強くしてもよい。このアッシングは、温度を高めること、アッシング時間を増加させること、又は基板バイアスを操作して一層物理的に(physical)すること、及び/又は圧力を低下させることのいずれかによって、一層強くされ得る。
上述の例において、用語「アッシング」は、高度に酸化させるプロセスを説明するために用いられている。しかし、本発明のアッシング・プロセスは、Oに加え又はOの代わりに、他の化学物質を含んでいてもよい。例えば、アッシング・プロセスは、Oの代わりに又はOに加えて、NO、オゾン、NO、又はHOなどの化学物質を含んでいてもよく、更に、N、Ar、Ne又はHeなどの希ガス、及びフルオロカーボン(例えば、CF)などのより反応性の高い化合物など、他の構成要素も含んでいてもよい。本発明に用いられるプロセス・ツールはアッシャーを含み得るが、代替としてエッチング・ツールであってもよい。特に、代替の酸化ガスは、それらが潜在的により高い反応性があるため、主要な(primary)酸化ガスとしてOよりも潜在的な利点を有する。例えば、これらのガスは、プラズマ形成の後にOのみよりも多くの酸素ラジカルを生成し得る。実際、所望のプロセスが大きな基板バイアスを含み、より低い電圧で動作する場合、典型的なアッシング型ツールは充分な能力を有さない可能性があり、その様な場合、より一般的なエッチング・ツールが用いられ得る。
この実施例では、更に、下部電極拡散障壁層30の露出された部分を取り除くため、エッチング・プロセス184(図2G)がその後206で再開される(例えば、又は別のエッチング・プロセスが開始される)。その後、更なるクリーニングが実行され得る。例えば、図6Hでは、第2のウェット・クリーニング・オペレーション196が207で実行され得、その後、第3のアッシング・プロセス198(図6I)が、上述の図2の130の上部拡散障壁の形成の前に208で実行され得、第3のアッシング・オペレーション198は、幾らか低い温度及び/又は短い時間(例えば、一例では約摂氏300度より低い温度で約1分又はそれより短い間)で実行され得る。この点で、207及び208のクリーニング工程は任意であり、本発明の範囲内で、それぞれ任意の適切なウェット・クリーニング及びアッシング・プロセス196及び198であってよい。
1つ又はそれ以上の実施例に関連して本発明を図示及び説明してきたが、本発明の範囲から逸脱することなく、図示した例に種々の変形及び/又は変更が成され得る。
図1Aは、1T1C強誘電性メモリ・セルを形成するためMOSセル・トランジスタ・ソース/ドレインに結合される下部電極を備えた強誘電性セル・キャパシタを有する、本発明の1つ又はそれ以上の側面に従った半導体デバイス・ウエハの一部の例示の強誘電性メモリ・セルを断面で図示する部分側面図である。 図1Bは、図1Aのメモリ・セル内の強誘電性セル・キャパシタ構造を更に図示する断面の部分側面図である。 図2は、本発明の1つ又はそれ以上の側面が実行され得る強誘電性キャパシタを備えた半導体デバイスを製造するためのプロセスを図示するフローチャートである。 図3は、本発明に従って、図1A及び図1Bのデバイス内の強誘電性キャパシタ積層をエッチング及びクリーニングするための第1の例示の手法を図示するフローチャートである。 図4Aから図4Tは、本発明の1つ又はそれ以上の側面に従って、図3の積層エッチング及びクリーニング手法を用いる、図2の製造プロセスに全般的に従った、図1A及び図1Bのデバイスの強誘電性メモリ・セル強誘電性キャパシタ積層の形成を断面で図示する部分側面図である。 図5は、本発明に従って、図2の製造プロセスに用いられ得る強誘電性キャパシタ積層をエッチング及びクリーニングするための第2の例示の手法を図示するフローチャートであり、或るクリーニング工程は、下部電極拡散障壁材料のエッチングの前に実行される。 図6Aから図6Iは、本発明に従った図5の積層エッチング及びクリーニング手法を用いた、図1A及び図1Bのデバイスの強誘電性メモリ・セル強誘電性キャパシタ積層の形成を断面で図示する部分側面図である。

Claims (7)

  1. 半導体デバイス内に強誘電性キャパシタ構造を製造する方法であって、この方法は、
    誘電性材料の上に下部電極拡散障壁構造を形成し、下部電極は誘電性材料内の導電性構造に少なくとも部分的に連結し、
    下部電極拡散障壁構造の上に下部電極を形成し、
    下部電極の上に強誘電性材料を形成し、
    強誘電性材料の上に上部電極を形成し、
    パターニングされたエッチング・マスクを上部電極の上に形成し、パターニングされたエッチング・マスクは、上部電極の一部を露出させ、
    パターニングされたエッチング・マスクを用いて、上部電極、強誘電性材料、及び下部電極の一部をエッチングして、パターニングされた強誘電性キャパシタ構造を画定し、
    パターニングされたエッチング・マスクを用いて下部電極拡散障壁構造の一部をエッチングし、
    パターニングされた強誘電性キャパシタ構造を、第1のアッシング・プロセスを用いてアッシングし、
    第1のアッシング・プロセスの後、ウェット・クリーニング・プロセスを実行し、更に、
    ウェット・クリーニング・プロセスの直後、パターニングされた強誘電性キャパシタ構造を、第2のアッシング・プロセスを用いて酸化雰囲気中で約摂氏300度又はそれ以上の温度でアッシングする
    ことを含む方法。
  2. 請求項1に記載の方法であって、第1のアッシング・プロセス、ウェット・クリーニング・プロセス、及び第2のアッシング・プロセスは、下部電極拡散障壁構造の一部をエッチングした後、及びパターニングされた強誘電性キャパシタ構造の上に上部拡散障壁又は誘電体材料が形成される前に実行される方法。
  3. 請求項1又は請求項2に記載の方法であって、第1のアッシング・プロセス、ウェット・クリーニング・プロセス、及び第2のアッシング・プロセスは、上部電極、強誘電性材料、及び下部電極の一部をエッチングした後、及び下部電極拡散障壁構造の一部をエッチングする前に実行される方法。
  4. 請求項1又は請求項2に記載の方法であって、第1のアッシング・プロセス、ウェット・クリーニング・プロセス、及び第2のアッシング・プロセスは、下部電極拡散障壁構造の一部をエッチングした後、及びパターニングされた強誘電性キャパシタ構造の上に上部拡散障壁又は誘電体材料が形成される前に実行される方法。
  5. 請求項1に記載の方法であって、更に
    下部電極拡散障壁構造の一部をエッチングした後、第2のウェット・クリーニング・プロセスを実行し、更に
    第2のウェット・クリーニング・プロセスの後、パターニングされた強誘電性キャパシタ構造を、第3のアッシング・プロセスを用いてアッシングすること
    を含む方法。
  6. 請求項1から請求項5のうち任意の請求項に記載の方法であって、第2のアッシング・プロセスの後、パターニングされた強誘電性キャパシタ構造の上に上部拡散障壁又は誘電体材料を形成することを更に含む方法。
  7. 半導体デバイス内の強誘電性キャパシタ構造をエッチング及びクリーニングする方法であって、
    上部電極、強誘電性材料、及び下部電極の一部をエッチングして、パターニングされた強誘電性キャパシタ構造を画定し、
    下部電極拡散障壁構造の一部をエッチングし、
    パターニングされた強誘電性キャパシタ構造を、第1のアッシング・プロセスを用いてアッシングし、
    第1のアッシング・プロセスの後、ウェット・クリーニング・プロセスを実行し、更に、
    ウェット・クリーニング・プロセスの後、パターニングされた強誘電性キャパシタ構造を、第2のアッシング・プロセスを用いて酸化雰囲気中で約摂氏300度又はそれ以上の温度でアッシングし、ウェット・クリーニング・プロセスと第2のアッシング・プロセスとの間に材料形成処理がないこと
    を含む方法。
JP2007547040A 2004-12-17 2005-12-19 強誘電性キャパシタ積層エッチ・クリーニング Active JP4838811B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/016,400 2004-12-17
US11/016,400 US7220600B2 (en) 2004-12-17 2004-12-17 Ferroelectric capacitor stack etch cleaning methods
PCT/US2005/046318 WO2006066261A2 (en) 2004-12-17 2005-12-19 Ferroelectric capacitor stack etch cleaning

Publications (2)

Publication Number Publication Date
JP2008526000A true JP2008526000A (ja) 2008-07-17
JP4838811B2 JP4838811B2 (ja) 2011-12-14

Family

ID=36588667

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007547040A Active JP4838811B2 (ja) 2004-12-17 2005-12-19 強誘電性キャパシタ積層エッチ・クリーニング

Country Status (4)

Country Link
US (1) US7220600B2 (ja)
JP (1) JP4838811B2 (ja)
CN (1) CN101416275A (ja)
WO (1) WO2006066261A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008010772A (ja) * 2006-06-30 2008-01-17 Fujitsu Ltd 半導体装置及びその製造方法

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100480636B1 (ko) * 2002-11-22 2005-03-31 삼성전자주식회사 반도체 장치의 제조방법
JP4308691B2 (ja) * 2004-03-19 2009-08-05 富士通マイクロエレクトロニクス株式会社 半導体基板および半導体基板の製造方法
US8093070B2 (en) * 2004-12-17 2012-01-10 Texas Instruments Incorporated Method for leakage reduction in fabrication of high-density FRAM arrays
JP4375561B2 (ja) * 2004-12-28 2009-12-02 セイコーエプソン株式会社 半導体記憶装置及びその製造方法
KR100605584B1 (ko) * 2004-12-28 2006-07-31 주식회사 하이닉스반도체 스크래치가 방지되는 반도체장치의 제조 방법
KR100588373B1 (ko) * 2004-12-30 2006-06-12 매그나칩 반도체 유한회사 반도체 소자의 형성 방법
JP4861627B2 (ja) * 2005-01-25 2012-01-25 ラピスセミコンダクタ株式会社 強誘電体キャパシタの製造方法
KR100949107B1 (ko) * 2005-03-18 2010-03-22 후지쯔 마이크로일렉트로닉스 가부시키가이샤 반도체 장치의 제조 방법
US7737612B1 (en) 2005-05-25 2010-06-15 Maxim Integrated Products, Inc. BAW resonator bi-layer top electrode with zero etch undercut
JP4621081B2 (ja) * 2005-07-07 2011-01-26 Okiセミコンダクタ株式会社 半導体装置の製造方法
KR100685735B1 (ko) * 2005-08-11 2007-02-26 삼성전자주식회사 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
US7727897B2 (en) * 2005-08-30 2010-06-01 Sharp Laboratories Of America, Inc. Method of etching a TE/PCMO stack using an etch stop layer
KR100718137B1 (ko) * 2005-09-05 2007-05-14 삼성전자주식회사 3차원 강유전체 커패시터와 이를 포함하는 불휘발성 메모리소자와 그 제조 방법
US7361950B2 (en) * 2005-09-12 2008-04-22 International Business Machines Corporation Integration of a MIM capacitor with a plate formed in a well region and with a high-k dielectric
JP2007081265A (ja) * 2005-09-16 2007-03-29 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
WO2007063573A1 (ja) * 2005-11-29 2007-06-07 Fujitsu Limited 半導体装置とその製造方法
JP5140935B2 (ja) * 2006-03-28 2013-02-13 富士通セミコンダクター株式会社 マグネトロンスパッタ成膜装置、及び半導体装置の製造方法
KR101025189B1 (ko) * 2006-03-30 2011-03-31 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 그 제조 방법
US7600303B1 (en) 2006-05-25 2009-10-13 Maxim Integrated Products, Inc. BAW resonator bi-layer top electrode with zero etch undercut
US7723199B2 (en) * 2006-06-21 2010-05-25 Texas Instruments Incorporated Method for cleaning post-etch noble metal residues
JP2008160050A (ja) * 2006-11-29 2008-07-10 Fujitsu Ltd 半導体装置、半導体ウェハ、およびその製造方法
JP2008135648A (ja) * 2006-11-29 2008-06-12 Toshiba Corp 半導体装置及び半導体装置の製造方法
US20080170352A1 (en) 2007-01-15 2008-07-17 Seiko Epson Corporation Capacitor and its manufacturing method
JP2008251889A (ja) * 2007-03-30 2008-10-16 Seiko Epson Corp キャパシタの製造方法
US7612488B1 (en) * 2007-01-16 2009-11-03 Maxim Integrated Products, Inc. Method to control BAW resonator top electrode edge during patterning
WO2008111188A1 (ja) 2007-03-14 2008-09-18 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
JP2008270277A (ja) * 2007-04-16 2008-11-06 Nec Electronics Corp 位置ずれ検出パターン、位置ずれ検出方法および半導体装置
JP2008294194A (ja) * 2007-05-24 2008-12-04 Seiko Epson Corp 強誘電体キャパシタの製造方法及び強誘電体キャパシタ
US8445913B2 (en) 2007-10-30 2013-05-21 Spansion Llc Metal-insulator-metal (MIM) device and method of formation thereof
US7985603B2 (en) * 2008-02-04 2011-07-26 Texas Instruments Incorporated Ferroelectric capacitor manufacturing process
JP4665025B2 (ja) * 2008-12-16 2011-04-06 Tdk株式会社 圧電素子の製造方法
US7811882B2 (en) 2009-01-13 2010-10-12 Texas Instruments Incorporated Hardmask manufacture in ferroelectric capacitors
US9305998B2 (en) * 2013-02-11 2016-04-05 Texas Instruments Incorporated Adhesion of ferroelectric material to underlying conductive capacitor plate
US9876018B2 (en) 2015-12-03 2018-01-23 Micron Technology, Inc. Ferroelectric capacitor, ferroelectric field effect transistor, and method used in forming an electronic component comprising conductive material and ferroelectric material
WO2018004651A1 (en) 2016-07-01 2018-01-04 Intel Corporation Capacitor including multilayer dielectric stack
US10510423B2 (en) * 2017-08-04 2019-12-17 Micron Technology, Inc. Mitigating disturbances of memory cells
CN108588662A (zh) * 2018-06-13 2018-09-28 东北大学 一种包含CrN扩散障层的导电复合涂层及制备方法
US10861929B2 (en) * 2018-06-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Electronic device including a capacitor
US10847201B2 (en) * 2019-02-27 2020-11-24 Kepler Computing Inc. High-density low voltage non-volatile differential memory bit-cell with shared plate line
US11476261B2 (en) 2019-02-27 2022-10-18 Kepler Computing Inc. High-density low voltage non-volatile memory with unidirectional plate-line and bit-line and pillar capacitor
US11839087B2 (en) * 2019-09-20 2023-12-05 Wuxi Petabyte Technologies Co., Ltd. Ferroelectric memory devices with reduced edge defects and methods for forming the same
US11430861B2 (en) * 2019-12-27 2022-08-30 Kepler Computing Inc. Ferroelectric capacitor and method of patterning such
US11659714B1 (en) 2021-05-07 2023-05-23 Kepler Computing Inc. Ferroelectric device film stacks with texturing layer, and method of forming such
US11527277B1 (en) 2021-06-04 2022-12-13 Kepler Computing Inc. High-density low voltage ferroelectric memory bit-cell
US11837268B1 (en) 2022-03-07 2023-12-05 Kepler Computing Inc. Multi-element ferroelectric gain memory bit-cell having stacked and folded planar capacitors with lateral offset
US11741428B1 (en) 2022-12-23 2023-08-29 Kepler Computing Inc. Iterative monetization of process development of non-linear polar material and devices

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5618747A (en) * 1996-06-03 1997-04-08 Industrial Technology Research Institute Process for producing a stacked capacitor having polysilicon with optimum hemispherical grains
US5990513A (en) * 1996-10-08 1999-11-23 Ramtron International Corporation Yield enhancement technique for integrated circuit processing to reduce effects of undesired dielectric moisture retention and subsequent hydrogen out-diffusion
EP1001459B1 (en) * 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
US6251794B1 (en) * 1999-02-18 2001-06-26 Taiwan Semiconductor Manufacturing Company Method and apparatus with heat treatment for stripping photoresist to eliminate post-strip photoresist extrusion defects
JP2001210798A (ja) * 1999-12-22 2001-08-03 Texas Instr Inc <Ti> コンデンサ構造の保護のための絶縁性と導電性の障壁の使用
US6548343B1 (en) 1999-12-22 2003-04-15 Agilent Technologies Texas Instruments Incorporated Method of fabricating a ferroelectric memory cell
JP3406265B2 (ja) * 2000-01-20 2003-05-12 松下電器産業株式会社 半導体装置およびその製造方法
JP3951540B2 (ja) * 2000-02-25 2007-08-01 富士通株式会社 強誘電体膜を有する半導体装置の製造方法
US6436838B1 (en) * 2000-04-21 2002-08-20 Applied Materials, Inc. Method of patterning lead zirconium titanate and barium strontium titanate
US6692976B1 (en) 2000-08-31 2004-02-17 Agilent Technologies, Inc. Post-etch cleaning treatment
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US6734477B2 (en) 2001-08-08 2004-05-11 Agilent Technologies, Inc. Fabricating an embedded ferroelectric memory cell
US6635498B2 (en) * 2001-12-20 2003-10-21 Texas Instruments Incorporated Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
US6500678B1 (en) * 2001-12-21 2002-12-31 Texas Instruments Incorporated Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US6596547B2 (en) * 2001-12-21 2003-07-22 Texas Instruments Incorporated Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US6767750B2 (en) * 2001-12-31 2004-07-27 Texas Instruments Incorporated Detection of AIOx ears for process control in FeRAM processing
US6713342B2 (en) * 2001-12-31 2004-03-30 Texas Instruments Incorporated FeRAM sidewall diffusion barrier etch
US6828161B2 (en) * 2001-12-31 2004-12-07 Texas Instruments Incorporated Method of forming an FeRAM having a multi-layer hard mask and patterning thereof
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
US6943126B1 (en) * 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
US6680516B1 (en) * 2002-12-06 2004-01-20 Cypress Semiconductor Corp. Controlled thickness gate stack
JP4346919B2 (ja) * 2003-02-05 2009-10-21 忠弘 大見 強誘電体膜,半導体装置及び強誘電体膜の製造装置
US7250349B2 (en) * 2003-03-06 2007-07-31 Texas Instruments Incorporated Method for forming ferroelectric memory capacitor
JP2004356458A (ja) * 2003-05-30 2004-12-16 Seiko Epson Corp 半導体集積回路装置及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008010772A (ja) * 2006-06-30 2008-01-17 Fujitsu Ltd 半導体装置及びその製造方法

Also Published As

Publication number Publication date
JP4838811B2 (ja) 2011-12-14
WO2006066261A3 (en) 2009-04-02
US7220600B2 (en) 2007-05-22
WO2006066261A2 (en) 2006-06-22
CN101416275A (zh) 2009-04-22
US20060134808A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
JP4838811B2 (ja) 強誘電性キャパシタ積層エッチ・クリーニング
US8093070B2 (en) Method for leakage reduction in fabrication of high-density FRAM arrays
KR100901950B1 (ko) 집적 회로 및 그 형성 방법
US7001821B2 (en) Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
US6635498B2 (en) Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
JP5006519B2 (ja) 強誘電体キャパシタ水素障壁及びその製造方法
US6548343B1 (en) Method of fabricating a ferroelectric memory cell
US20060073613A1 (en) Ferroelectric memory cells and methods for fabricating ferroelectric memory cells and ferroelectric capacitors thereof
US7633107B2 (en) Semiconductor device and manufacturing method thereof
JPWO2004093193A1 (ja) 半導体装置の製造方法
US7960227B2 (en) Manufacturing method of semiconductor device
US7547638B2 (en) Method for manufacturing semiconductor device
JP3166746B2 (ja) キャパシタ及びその製造方法
JP4105656B2 (ja) 半導体装置及びその製造方法
US20040056286A1 (en) Memory architecture with memory cell groups
US20090256259A1 (en) Semiconductor device and method for manufacturing the same
KR100403957B1 (ko) 강유전체 메모리 소자의 제조 방법
EP1540711A2 (en) Memory cells with improved reliability
US9224592B2 (en) Method of etching ferroelectric capacitor stack
KR100846365B1 (ko) 노블계 하드마스크를 이용한 강유전체 메모리소자의캐패시터 제조 방법
US7728368B2 (en) Semiconductor device and method of manufacturing the same
JP2006032451A (ja) 半導体記憶装置およびその製造方法
JP2009152295A (ja) 半導体記憶装置及びその製造方法
JPWO2006100737A1 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100405

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110927

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110930

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141007

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4838811

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250