JP2008235534A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2008235534A
JP2008235534A JP2007072343A JP2007072343A JP2008235534A JP 2008235534 A JP2008235534 A JP 2008235534A JP 2007072343 A JP2007072343 A JP 2007072343A JP 2007072343 A JP2007072343 A JP 2007072343A JP 2008235534 A JP2008235534 A JP 2008235534A
Authority
JP
Japan
Prior art keywords
silicon film
film
etching
silicon
condition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007072343A
Other languages
English (en)
Other versions
JP5119696B2 (ja
Inventor
Mitsugi Tajima
貢 田島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2007072343A priority Critical patent/JP5119696B2/ja
Priority to TW097108666A priority patent/TWI377647B/zh
Priority to US12/052,195 priority patent/US7888268B2/en
Publication of JP2008235534A publication Critical patent/JP2008235534A/ja
Application granted granted Critical
Publication of JP5119696B2 publication Critical patent/JP5119696B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】シリコンから構成される導電パターンの下から上までの幅を均一化すること。
【解決手段】半導体基板1上に絶縁膜5を介して第1シリコン膜6を形成し、第1シリコン膜6に高濃度で一導電型不純物を導入し、第1シリコン膜6上に第2シリコン膜9を形成し、第2シリコン膜9上に所定パターンのマスク10mを形成した後、マスク10mから露出する領域で、第1シリコン膜6が露出しない深さまで第1条件により第2シリコン膜9をエッチングし、ついで第1条件に比べて半導体基板1の垂直方向へのエッチング成分の高い第2条件によって第2シリコン膜9の残りと第1シリコン膜6を絶縁膜5が露出しない深さまでエッチングし、さらに第2条件に比べて絶縁膜に対する第1シリコン膜6のエッチング選択比が大きな第3条件により第1シリコン膜6の残りをエッチングする工程とを有している。
【選択図】図4

Description

本発明は、半導体装置及びその製造方法に関し、特に、シリコンからなる導電パターンを有する半導体装置及びその製造方法に関する。
半導体装置を構成するMOSトランジスタのゲート電極をシリコン膜から形成する場合に、シリコン膜にはn型又はp型の不純物が導入される。
導電性を確保するためのゲート電極と、キャリア移動領域としてのソース/ドレイン領域に要求される不純物濃度分布、深さなどが異なるため、ゲート電極を構成するシリコン膜への不純物イオン注入を、シリコン基板内にソース/ドレイン領域を形成するための不純物イオン注入とは同時に行わないプロセスが検討されている。
上記プロセスは、ゲート絶縁膜を介してポリシリコン膜をシリコン基板上に成長し、さらにポリシリコン膜に不純物をイオンを注入し、その後に、ポリシリコン膜をフォトリソグラフィー法によりゲート形状にパターニングするという工程を有している。
ポリシリコン膜に不純物イオンを注入する場合には、注入エネルギーを低くしてポリシリコン膜の上層部での不純物濃度を下層部のそれより高くすることが、特開2004−266249号公報(特許文献1)に記載されている。
この場合、ポリシリコン膜の膜厚が厚くなると、その下層部内の不純物濃度が不足してゲート電極が空乏化し、ゲート絶縁膜の実効膜厚が厚くなり、結果として、MOSトランジスタの性能が低下する原因になる。
これに対して、厚く形成されたポリシリコン膜内で十分な深さに不純物を導入するために加速エネルギーを大きくすると、ゲート絶縁膜を突き抜ける不純物量が増えてしまい、ゲート電極からリーク電流が流れ易くなるといった問題がある。
一方、ゲート電極の膜厚は、その側面に形成されるサイドウォールスペーサの幅及び膜厚を最適化することも考慮して設定され、ポリシリコン膜が薄くなればサイドウォールスペーサの幅を広くすることが難しくなる。
サイドウォールスペーサは、ゲート電極及びシリコン基板の上に絶縁膜を形成した後に、異方性エッチングしてゲート電極の側面に残すことにより形成され、ソース/ドレインのエクステンション領域を保護するために使用される。その幅は、ゲート電極の高さに依存する。
これに対して、不純物濃度の異なる2層のポリシリコン膜からゲート電極を構成することが、特開平10−50862号公報(特許文献2)に記載されている。即ち、一層目のポリシリコン膜は、窒素が導入され且つ高濃度で不純物がイオン注入されている。また、二層目のポリシリコン膜は、低濃度で不純物がイオン注入され、その上にはシリサイド膜が形成されている。
また、CMOSトランジスタのゲート電極の空乏化を防止する方法として、ゲート電極用ポリシリコン膜のNMOSトランジスタ領域にn型不純物をイオン注入した後にn型不純物活性化用の熱処理を行い、続いて、ゲート電極用ポリシリコン膜のPMOSトランジスタ領域にp型不純物であるホウ素(B)をイオン注入することが特開2000−214387号公報(特許文献3)に記載されている。
この方法に従えば、ゲート電極用ポリシリコン膜においてn型不純物イオンを熱処理により活性化する際に他の領域でホウ素が抜けることはないので、ホウ素の濃度低下によるゲート電極の空乏化が防止される。
特開2004−266249号公報 特開平10−50862号公報 特開2000−214387号公報
ところで、ゲート電極の空乏化を抑制するために、ゲート電極を構成するポリシリコン膜の下層部内の不純物濃度を高くすると、その下層部でサイドエッチングが大きくなってゲート電極の実効的な長さが縮小化することがあることを本発明者らは見出した。
これは、不純物濃度が所定範囲よりも大きくなるとエッチング速度が上昇するからであると考えられる。
このようなゲート電極のサイドエッチングによるトランジスタ特性への影響は、ゲート電極が数十nmと狭くなるにつれて大きくなってきている。
本発明の目的は、シリコンから構成される導電パターンの下層部と上層部の幅を均一化することができる半導体装置及びその製造方法を提供することにある。
本発明の観点に従えば、半導体基板の上に第1絶縁膜上を介して第一導電型不純物を含む第1のシリコン膜を形成する工程と、第1のシリコン膜より第一導電型不純物濃度が低い第2のシリコン膜を第1のシリコン膜上に形成する工程と、第2のシリコン膜上に所定パターンのマスク層を形成する工程と、マスク層をエッチングマスクとして、第2のシリコン膜を第1のシリコン膜が露出しない深さまで第1条件によりエッチングする第1エッチング工程と、第1条件に比べて垂直エッチング成分比の高い第2条件によって、第2のシリコン膜の残りと第1のシリコン膜を第1絶縁膜が露出しない深さまでエッチングする第2エッチング工程と、第2条件に比べて、第1絶縁膜のエッチングレートに対する第1のシリコン膜のエッチングレートの比が大きな第3条件により第1のシリコン膜の残りをエッチングする第3エッチング工程とを有している。
本発明によれば、マスクから露出している領域において、高濃度で第一導電型不純物を含む第1のシリコン膜が露出しない深さに第2のシリコン膜をエッチングした後に、それよりも垂直方向への異方性が高いエッチングにより第2のシリコン膜の残りと第1のシリコン膜の殆どをエッチングし、さらに、半導体基板上の絶縁膜に対してエッチング選択比が高い条件で第1のシリコン膜の残りをエッチングするようにしている。これにより、第1のシリコン膜のサイドエッチングを抑制し、シリコン膜のパターンを厚さ方向の位置によらずにほぼ均一にすることが可能になり、そのパターンの目標線幅を得ることが可能になる。
以下に本発明の実施の形態を図面に基づいて詳細に説明する。
図1〜図7は、本発明の実施形態に係る半導体装置の形成工程を示す断面図である。
まず、図1(a)に示すように、シリコン(半導体)基板1にPウェル2とNウェル3と素子分離層4を形成する。
Pウェル2は、Pウェル領域に開口を有するレジストパターン(不図示)をシリコン基板1上に形成し、さらに開口を通してシリコン基板1にp型ドーパントをイオン注入することにより形成される。p型ドーパントとして、例えばホウ素(B)が用いられ、ホウ素のイオン注入条件として例えば加速エネルギーを120keVとし、ドーズ量を1×1013/cm2とする。
また、Nウェル3は、レジストパターンを使用してNウェル領域にn型ドーパントをイオン注入することにより形成される。n型ドーパントとして、例えば燐(P)が用いられ、燐のイオン注入条件として例えば加速エネルギーを300keVとし、ドーズ量を1×1013/cm2とする。
Pウェル2、Nウェル3が形成された後に、素子分離層4としてシャロートレンチアイソレーション(STI)がシリコン基板1内に形成される。STIの形成プロセスは、素子分離領域に開口を有するシリコン窒化膜(不図示)をシリコン基板1上に形成した後に、シリコン窒化膜に覆われていない部分をエッチングすることによりシリコン基板1に溝4aを形成し、さらにシリコン窒化膜をリン酸により除去し、ついで溝4a内にシリコン酸化膜を埋め込む工程を有している。シリコン基板1の上面上に成長したシリコン酸化膜は、化学機械研磨(CMP)法等により除去される。
なお、素子分離層4として、STIを形成する代わりに、LOCOS(Local Oxidation of Silicon)法によりシリコン酸化膜を形成してもよい。
その後に、シリコン基板1の上面にゲート絶縁膜5となるシリコン酸化膜を化学気相成長(CVD)法により例えば1nm〜2nmの厚さに形成する。なお、シリコン酸化膜に他の元素を添加してもよく、その厚さはシリコン酸化膜相当で1nm〜2nmとする。
次に、図1(b)に示すように、第1のシリコン膜6をCVD法により例えば30nm以上、60nm以下の厚さに形成する。第1のシリコン膜6の成長に使用する反応ガスとして、モノシラン、ジクロルシラン等が使用され、その成長雰囲気内の圧力を40Pa程度とする。
第1のシリコン膜6はアモルファスシリコン膜、ポリシリコン膜のいずれであってもよい。ポリシリコン膜を成長する場合には成長温度を例えば620℃程度とし、アモルファスシリコン膜を成長する場合には成長温度を580℃以下とする。
続いて、ゲート絶縁膜5上にフォトレジスト7を塗布し、これを露光、現像することにより、図1(c)に示すような第1のレジストパターン7を形成し、これにより、Nウェル3上の第1のシリコン膜6を覆うとともにPウェル2上の第1のシリコン膜6を露出する。
さらに、第1レジストパターン7から露出している第1のシリコン膜6にn型不純物をイオン注入する。n型不純物として燐(P)又は砒素(As)を使用し、ドーズ量を例えば4×1015atoms/cm2、加速エネルギーを例えば10keVとする。
これにより、第1のシリコン膜6内のn型不純物濃度は、Pウェル2の上の領域で1×1018atoms/cm3以上になる。
第1レジストパターン7を除去した後に、再び第1のシリコン膜6上にフォトレジストを塗布し、これを露光、現像することにより、図2(a)に示すように、Pウェル2上の第1のシリコン膜6を覆うとともにNウェル3上の第1のシリコン膜6を露出する第2レジストパターン8を形成する。
さらに、第2レジストパターン8から露出している第1のシリコン膜6にp型不純物をイオン注入する。p型不純物として硼素(B)を使用し、ドーズ量を例えば5×1015atoms/cm2、加速エネルギーを2keVとする。
これにより、第1のシリコン膜6内のp型不純物濃度は、Nウェル3の上の領域で1×1018atoms/cm3以上になる。
第2フォトレジスト8を除去した後に、図2(b)に示すように、第1のシリコン膜6上に第2のシリコン膜9をCVD法により例えば50nm以上、80nm以下の厚さに形成する。
第2のシリコン膜9の成長条件は、膜厚を除いて第1のシリコン膜6の成長と同じにする。第2のシリコン膜9はアモルファスシリコン膜、ポリシリコン膜のいずれであってもよく、それぞれの成長温度は、第1のシリコン膜6と同様である。
本実施形態では、第2のシリコン膜9には不純物をイオン注入する工程が採用されずにパターニングされるまでアンドープ状態とする。しかし、第1のシリコン膜6内の一導電型不純物濃度よりも低濃度で一導電型不純物をイオン注入してもよく、この場合には、イオン注入後の不純物濃度を1×1018atoms/cm3未満とする。
なお、第1のシリコン膜6と第2のシリコン膜9の合計膜厚は、後の工程で形成されるサイドウォールの横幅の大きさやゲート電極の抵抗値などを考慮して決定される。
第1のシリコン膜6内に導入された不純物の活性化は、第2のシリコン膜9の成長前でもよいしその後でもよい。n型不純物とp型不純物の活性化はそれらを導入する毎に行ってもよい。活性化温度は例えば900〜1000℃程度である。
次に、図2(c)に示すように、第2のシリコン膜9上にハード膜10を形成する。ハード膜10として、例えば第2のシリコン膜6上にTiN膜をスパッタにより形成し、さらにシリコン酸化膜をテトラエトキシシラン(TEOS)使用のCVD法により形成する。
続いて、図3(a)に示すように、ハード膜10上にフォトレジストを塗布し、これを露光、現像することにより、Pウェル2及びNウェル3の上方でゲート電極形状を有する第3のレジストパターン11を形成する。この場合、Pウェル2及びNウェル3の上において、第3のレジストパターン11のゲート長方向の長さを例えば30nm〜60nmとする。
さらに、図3(b)に示すように、第3のレジストパターン11をマスクにしてハード膜10をエッチングしてパターニングする。これにより、第3のレジストパターン11の下に残されたハード膜10をハードマスク10mとして使用する。
なお、ハードマスク10mは必須のものではなく、第3のレジストパターン11を第2のシリコン膜9上に直接形成してもよい。
その後に、第1及び第2のシリコン膜6、9を以下の条件によるプラズマエッチングによってパターニングする。それらのエッチングは、同じチャンバ内で行われる。
まず、図3(c)に示すように、ハードマスク10mに覆われない領域にある第2のシリコン膜9を第1エッチング条件でその厚さの途中までエッチングする。エッチング深さは、第2のシリコン膜9の厚さの70%以上であって、第1のシリコン膜6が露出しない量とする。
この場合のエッチングガスとして、例えば、臭化水素(HBr)と酸素(O)の混合ガス、又は、塩素(Cl)とHBrとOの混合ガス、又は四フッ化炭素(CF)とClとHBrとOの混合ガスのいずれかを選択する。また、エッチング雰囲気の圧力を数mTorr又はそれ以上で10mTorr以下の範囲に設定する。
第1エッチング条件を、2つのサブステップで構成してもよい。即ち、第2のシリコン膜9の表面に酸化膜が薄く形成されている場合には、初期ステップとして、例えば塩素(Cl)又はCFのいずれかのガスを用いる条件を含めてもよい。
次に、図4(a)に示すように、ハードマスク10mに覆われない領域において、第1のエッチング条件を第2のエッチング条件に切り替えて、その条件で残りの第2のシリコン膜9をエッチングし、これに連続させて第1のシリコン膜6をその厚さの途中までエッチングする。
第2のエッチング条件による第1のシリコン膜6のエッチング深さは、20nm以上であってゲート絶縁膜5が露出しない量とする。それ以上のエッチング深さとすれば、膜厚の薄いゲート絶縁膜5が容易に除去され、続いてシリコン基板1の表面もエッチングされるから、これを防止する必要がある。
第2のエッチング条件は、反応ガスとして、Cl、HBr、ヨウ化水素(HI)、臭素(Br)のうち少なくとも1つのガスにCH(x、yは原子数)を添加したガスを使用する。CHとして、例えば、CHとCHFとCHFのうち少なくとも1つ含むガスとする。
この場合、エッチング雰囲気の圧力を0.6Paと比較的低くするとともに、基板対向電極に与える高周波電力のパワーを例えば600Wの高エネルギーとすることにより、基板垂直方向へのエッチング成分を高くする。
CHのガス流量は、総ガス流量の2〜5流量%とする。また、HBrのガス流量を例えば250sccmとする。CHのガス流量の詳細については後述する。
次に、第2のエッチング条件を第3のエッチング条件に切り替える。これにより、図4(b)に示すように、ハードマスク10mに覆われない領域において第1のシリコン膜6の残りをエッチングしてゲート絶縁膜5を露出させる。
第3のエッチング条件は、ゲート絶縁膜5を構成する酸化膜、例えばシリコン酸化膜に対する第1のシリコン膜6のエッチング選択比を例えば100倍程度に高くできる条件に設定される。これにより、第1のシリコン膜6のエッチングの最終段階で、シリコン基板1表面の露出が防止される。
この場合の、エッチングガスとして、HBrとOとヘリウムの混合ガスを使用し、エッチング雰囲気の圧力を例えば約10.7Paの高圧とする。
第3のエッチング条件をさらに、2つのサブステップに分けてもよい。この場合、第2のサブステップでは、第1のサブステップに比べて、シリコン酸化膜に対するシリコン膜のエッチング比を高める。
これは、ゲート絶縁膜5が露出する直前に条件を変えることにより、最終的なオーバーエッチングの条件により生じる第1のシリコン膜6のサイドエッチングの時間をできるだけ短くするためである。
以上のようなエッチング条件により第1、第2のシリコン膜6、9をパターニングすることにより、ゲート長方向のパターン幅を10%以内の誤差とすることができる。
次に、第3のレジストパターン11を酸素プラズマや溶剤により除去する。これに続いて、図4(c)に示すように、ハードマスク10mを構成するシリコン酸化膜を緩衝フッ酸により除去し、さらにその下のTiN膜を熱リン酸により除去する。なお、TiN膜は、後の工程でサイドウォールスペーサを形成する際の異方性エッチングにより除去してもよい。
これにより、Pウェル2及びNウェル3上に形成された第1、第2のシリコン膜6、9のパターンは、それぞれゲート電極12a、12bとして使用される。
なお、ゲート電極12a,12bに覆われない領域のゲート絶縁膜5は、ハードマスク10mを構成するシリコン酸化膜と同時に除去されるが、その後に、熱酸化法によりシリコン基板1の表面にシリコン酸化膜(不図示)を成長する。
次に、図5(a)に示すように、Pウェル2におけるゲート電極12aの両側方にn型のエクステンション領域13aを形成する。さらに、Nウェル3におけるゲート電極12bの両側方にp型のエクステンション領域14aを形成する。
n型のエクステンション領域13aは、例えば、Nウェル3上の領域をフォトレジスト(不図示)により覆った状態で、砒素(As)をPウェル2内にイオン注入して形成される。この場合、Asのイオン注入は、例えば加速エネルギー1keV、ドーズ量1×1015/cm2の条件とする。
Nウェル3を覆うフォトレジストを除去した後に、さらにPウェル2を別のフォトレジスト(不図示)で覆う。そして、露出されたNウェル3内にホウ素(B)をイオン注入する。これにより、ゲート電極12bの両側のNウェル3にp型のエクステンション領域14aが形成される。Bのイオン注入は、例えば加速エネルギー0.5keV、ドーズ量1×1015/cm2の条件とする。
Pウェル2を覆うフォトレジストを除去した後に、ゲート電極12a,12bの下を除く領域のシリコン基板1表面のシリコン酸化膜(不図示)を例えばフッ酸により除去する。
続いて、図5(b)に示すように、例えば厚さ90nmの絶縁膜15をCVD法によりシリコン基板1及びゲート電極12a,12bの上に形成する。なお、絶縁膜15として例えば、シリコン酸化膜の単層、又はシリコン酸化膜とシリコン窒化膜の二層を形成する。
第1のシリコン膜6もしくは第2のシリコン膜9をアモルファスで形成した場合は、図5(b)の工程の後に、例えば急速熱処理(RTA)によりアモルファスシリコンを結晶化して体積を変化させてもよい。これにより、ゲート電極12a,12bの下のチャネル領域にストレスが加わってキャリアの移動度が向上する。
次に、図5(c)に示すように、シリコン酸化膜15をエッチバックしてゲート電極12a、12bの側部にサイドウォールスペーサ15sとして残す。
続いて、Nウェル3とPウェル2をフォトレジスト(不図示)により交互に覆う。そして露出した側のNウェル3とPウェルのそれぞれに反対導電型の不純物をイオン注入する。これにより、ゲート電極12a,12b及びサイドウォールスペーサ15sに覆われない領域にソース/ドレイン領域13、14を形成する。
例えば、Pウェル2にAsをイオン注入することにより、ゲート電極12aの両側にn型のソース/ドレイン領域13を形成する。この場合、Asのイオン注入は、例えば加速エネルギー35keV、ドーズ量2×1015/cm2の条件とする。
また、Nウェル3にBをイオン注入してゲート電極12bの両側にp型のソース/ドレイン領域14を形成する。この場合、Bのイオン注入は、例えば加速エネルギー6keV、ドーズ量6×1015/cm2の条件とする。
この後に、シリコン基板1をアニールすることにより、Pウェル2、Nウェル3にそれぞれ注入されたドーパントを活性化する。
次に、図6(a)に示すように、ニッケル(Ni)ターゲットを用いて、シリコン基板1の上にNi膜16をスパッタにより20nmの厚さに形成し、これによりゲート電極12a,12b、Pウェル2及びNウェル3を覆う。なお、Niの代わりにNiPt、その他の高融点金属を形成してもよい。Ni膜16(NiPt膜)は、8nm以上あればよいが、厚くても200nmとする。
さらに、Ni膜16上に窒化チタン(TiN)からなる保護膜17をスパッタにより形成する。TiNは、Tiターゲットと窒素ガスを使用して形成される。なお、保護膜17としてTiNの代わりにTiを形成してもよい。また、保護膜17の形成を省いてもよい。
なお、Ni膜16を形成する前に、シリコン基板1の表面を緩衝フッ酸によりクリーニングする。クリーニングは、熱酸化膜に換算して5nmの厚さをエッチングする条件とする。
次に、図6(b)に示すように、220℃〜280℃(例えば260℃)の温度で、シリコン基板1を30秒間アニールすることによりニッケルと第2のシリコン膜9を反応させて、Pウェル2では、ソース/ドレイン領域13とゲート電極12aの上層にシリサイド層18,19を形成する。また、Nウェル3では、ソース/ドレイン領域14aとゲート電極12bの上層にシリサイド層20,21を形成する。Ni膜16を使用した場合のシリサイド層18〜21は、ニッケルシリサイド層である。
ゲート電極12a,12bの上層に形成されるシリサイド層19,21は、第2のシリコン膜9を低抵抗化する。なお、熱処理により第1のシリコン膜6内の不純物を拡散第2のシリコン膜9の下層内に拡散させてその電気抵抗をさげてもよい。
続いて、図6(c)に示すように、硫酸と過酸化水素水の混合液、塩酸と過酸化水素水の混合液等を用いて保護膜17と未反応のNi膜16を除去する。その後、300℃〜500℃の温度帯でシリサイド層18〜21をアニールしてさらにニッケルとシリコンを反応させる。
なお、シリサイド層18〜21の構成材料は、ニッケルシリサイドやニッケル合金シリサイドに限られるものではなく、Ni膜16の代わりにコバルト(Co)膜やTi膜を用いて、コバルトシリサイド層(CoSi2)やチタンシリサイド(TiSi2)を形成してもよい。
以上により、Pウェル2には、ゲート電極12a、ソース/ドレイン領域13等を有するNMOSトランジスタT1が形成され、また、Nウェル3には、ゲート電極12b、ソース/ドレイン領域14等を有するNMOSトランジスタT2が形成される。
次に、図7(a)に示すように、シリコン基板1の上にシリコン窒化膜(SiNy1膜(y1;組成比))22、シリコン酸化膜23をプラズマCVD法によりそれぞれ50nm、600nmの厚さに形成する。この場合、シリコン窒化膜22とシリコン酸化膜23の成長温度を例えば400℃とする。シリコン窒化膜22とシリコン酸化膜23は層間絶縁膜となる。シリコン窒化膜22は、チャネル部分のストレス制御を目的として、引っ張り応力を有する窒化膜や圧縮応力を有する窒化膜を用いてもよい。
さらに、シリコン酸化膜23をCMPにより研磨してその上面を平坦化する。
次に、フォトレジスト(不図示)をシリコン酸化膜23上に塗布し、これを露光、現像してゲート電極12a,12b、ソース/ドレイン領域13,14の上のシリサイド層18〜21の上にそれぞれレジスト開口部(不図示)を形成する。
そして、レジスト開口部を通してシリコン酸化膜23、シリコン窒化膜22をエッチングすることにより、図7(b)に示すように、シリコン酸化膜23及びシリコン窒化膜22内にコンタクトホール23a〜23fを形成する。
この工程において、シリコン酸化膜23はCx2y2/Ar/O2係(x2、y2;原子数)のガスを用いてドライエッチングによりエッチングされ、また、シリコン窒化膜22のエッチングはCHx3y3/O2/Ar係(x3、y3;原子数)のガスを用いてドライエッチングによりエッチングされる。
その後に、コンタクトホール23a〜23fの内壁面及び底面とシリコン酸化膜23上に、グルー(密着)層としてチタン(Ti)層をプラズマCVD法により2nm〜8nmの厚さに形成する。続いて、Ti層の上にバリアメタル層としてTiN層をスパッタにより1nm〜20nmの厚さに形成する。
さらに、バリアメタル層上にタングステン(W)層をCVD法により成長してコンタクトホール23a〜23f内を埋め込む。W成長用のソースガスとして六フッ化タングステンを使用する。
続いて、CMPによりW層、TiN層及びTi層をシリコン酸化膜23の上面上から除去する。これによりコンタクトホール23a〜23f内に残されたW層、TiN層及びTi層は、導電性プラグ24a〜24fとなる。
次に、図7(c)に示すように、シリコン酸化膜23上に、例えばバリアメタル、アルミニウムの多層構造からなる導電膜を形成し、これをフォトリソグラフィー法によりパターニングして配線25a〜25fを形成する。
その後に、配線25a〜25f、シリコン酸化膜23の上にさらに層間絶縁膜、配線、導電性プラグ等を形成する。そのプロセスの詳細は省略する。
ところで、ゲート電極12a、12bをパターニングする工程において、第2のエッチング条件で使用されるCHのガス流量を総ガス流量の2〜5流量%とした理由は、図8に示す実験結果によるものである。
図8は、CHのガス流量比αとゲート電極12a,12bのパターン形状の関係を示している。
図8の縦軸は、図9に示すゲート電極12a(12b)において、第1のシリコン膜6と第2のシリコン膜9の界面、例えば高さ中央位置での幅Wに対する底面の幅Wの比(W/W)を示し、横軸はCHのガス流量比αを示している。
パターン比が1の場合には、ゲート電極12a(12b)の幅が上から下までほぼ均一になり、ゲート電極12a(12b)の目標線幅の達成が可能になる。
パターン比は1に近いほど良いが、パターニング誤差の許容範囲は例えば10%以内であるので、図8の実験結果によりガス流量比αを2流量%〜5流量%に設定することが好ましいことがわかる。
このようにCHのガス流量を総ガス流量の2〜5流量%とすると、高不純物濃度の第1のシリコン膜6のエッチングでは、エッチングにより形成された側面に最適な状態のデポジション層が形成されるので、サイドエッチングが入らないようになり、しかもテーパー形状になることが抑制される。
これにより、ゲート電極12a(12b)を構成する第1のシリコン膜6と第2のシリコン膜9との界面における幅が、第1のシリコン膜6の底面における幅の90%以上となることが好ましい。
ところで、第1のエッチング条件を第2のエッチング条件と同じにすると、第2のシリコン膜9を上面からエッチングする際に、レジストパターン11に対する第2のシリコン膜9のエッチング選択比が低下したり、あるいは側壁でフロロカーボンポリマーの付着量が多すぎてパターン精度が悪化したりする。
以上のように本実施形態によれば、アンドープ又は低不純物濃度の第2のシリコン膜9の途中までをエッチングする第1条件と、第2のシリコン膜9の途中から第1のシリコン膜6の途中までをエッチングする第2条件と、第1のシリコン膜6の途中から最後までエッチングする第3条件をそれぞれ異ならせている。
第2のシリコン膜9はアンドープ又は低不純物濃度であるために、第1のシリコン膜6に比べてサイドエッチングが生じにくい状態となっている。従って、第2のシリコン膜9のエッチング条件としては、エッチングにより徐々に現れるゲート電極12a、12bの側面にデポジション層が付着しにくい第1条件とする。また、第1条件によるエッチングのタイミングを最適化して、エッチング深さを第2のシリコン膜9の膜厚の70%以上とすることにより、デポジション層の付着を防止してテーパー形状の発生が抑制され、目標の線幅が得やすくなる。
また、第2のシリコン膜9の残りと第1のシリコン膜6の多くを第2条件でエッチングすることにより、高不純物濃度の第1のシリコン膜6のサイドエッチングを抑制して目標の線幅を得るようにしている。
第2条件の適用範囲を、第2のシリコン膜9の低層部から高不純物濃度の第1のシリコン膜6の少なくとも70%の厚さまでの範囲、例えば20nm以上の深さまとすることにより、サイドエッチングの影響が防止される。
なお、第1のシリコン膜6内の不純物濃度は1×1018atoms/cm3以上であり、その量よりも少ない場合には第1条件によるエッチングでも形状不良が発生しにくい。
さらに、第1のシリコン膜6をオーバーエッチングする際には、第3条件で第1のシリコン膜6をエッチングすることにより、その下のゲート絶縁膜5に対する下側のシリコン膜6のエッチング選択比を十分高くする。これにより、ゲート電極12a、12bの両側方においてシリコン基板1の不要なエッチングが防止される。
そのようにエッチング条件を少なくとも3回変えることによって、ゲート電極12a、12bの形状の誤差を抑制することができ、目標となる幅のパターンを形成して最適な線幅を得ることができる。
なお、上記した実施形態では、マスクを使用して下側のシリコン膜6と上側のシリコン膜9をエッチングすることによりゲート電極12a、12bを形成しているが、上記した第1〜第3のエッチング条件を採用することにより、シリコン膜からなる配線、その他の導電性パターンを形成してもよい。
次に、本発明の実施形態の特徴を付記する。
(付記1)半導体基板の上に第1絶縁膜を形成する工程と、前記第1絶縁膜上に第1のシリコン膜を形成する工程と、前記第1のシリコン膜上に第2のシリコン膜を形成する工程と、前記第2のシリコン膜上に所定パターンのマスク層を形成する工程と、前記マスク層をエッチングマスクとして、前記第2のシリコン膜を前記第1のシリコン膜が露出しない深さまで第1条件によりエッチングする第1エッチング工程と、第1条件に比べて垂直エッチング成分比の高い第2条件によって、前記第2のシリコン膜の残りと前記第1のシリコン膜を前記第1絶縁膜が露出しない深さまでエッチングする第2エッチング工程と、前記第2条件に比べて、前記第1絶縁膜のエッチングレートに対する前記第1のシリコン膜のエッチングレートの比が大きな第3条件により前記第1のシリコン膜の残りをエッチングする第3エッチング工程とを有し、前記第1のシリコン膜の第一導電型不純物濃度は、前記第2のシリコン膜の第一導電型不純物濃度よりも高いことを有することを特徴とする半導体装置の製造方法。
(付記2)前記第1条件によって、前記第2のシリコン膜は膜厚の70%以上の深さまでエッチングされることを特徴とする付記1に記載の半導体装置の製造方法。
(付記3)前記第1エッチング工程の前に、前記第2のシリコン膜の表面の酸化膜を除去する工程を有することを特徴とする付記1又は付記2に記載の半導体装置の製造方法。
(付記4)前記第1のエッチング工程に使用される第1反応ガスは、HBr及びOを含むことを特徴とする付記1乃至付記3のいずかに記載の半導体装置の製造方法。
(付記5)前記第1反応ガスにはさらにClが含まれることを特徴とする付記4に記載の半導体装置の製造方法。
(付記6)前記第1のシリコン膜のうち前記第2のエッチング工程により除去される厚さは20nm以上であることを特徴とする付記1乃至付記5のいずれかに記載の半導体装置の製造方法。
(付記7)前記第2エッチング工程でエッチングされる前記第1のシリコン膜の前記第一導電型不純物濃度は1×1018atoms/cm3以上であることを特徴とする付記1乃至付記6のいずれかに記載の半導体装置の製造方法。
(付記8)前記第2エッチング工程は、CH(x、yは原子数)ガスを含む第2反応ガスを使用すること特徴とする付記1乃至付記5のいずれかに記載の半導体装置の製造方法。
(付記9)前記CHガスは、CHとCHFとCHFの少なくとも1つ含むことを特徴とする付記8に記載の半導体装置の製造方法。
(付記10)前記第2反応ガスには、Cl、HBr、HI、Brのうち少なくとも1つのガスを含むことを特徴とする付記8又は付記9に記載の半導体装置の製造方法。
(付記11)前記第2反応ガスの総流量に対する前記CHのガス流量比は2〜5流量%であることを特徴とする付記8乃至付記10のいずれかに記載の半導体装置の製造方法。
(付記12)前記第3エッチング工程は、前記第3条件でエッチングを行う第1ステップと、前記第1絶縁膜のエッチングレートに対する前記第1のシリコン膜のエッチングレート比が、前記第3条件よりも大きくなる第4条件でエッチングを行う第2ステップと、を有することを特徴とする付記1乃至付記11のいずれかに記載の半導体装置の製造方法。
(付記13)前記第1絶縁膜の形成は、酸化シリコンを含む膜を気相成長により形成する工程であることを特徴とする付記1乃至付記12のいずれかに記載の半導体装置の製造方法。
(付記14)前記第1のシリコン膜、第2のシリコン膜は、それぞれポリシリコン膜、アモルファスシリコン膜のいずれかであることを特徴とする付記1乃至付記13のいずれかに記載の半導体装置の製造方法。
(付記15)前記第3エッチング工程の後、前記マスクを除去し、前記第2のシリコン膜上に金属膜を形成する工程と、前記金属膜及び前記第2のシリコン膜を加熱することにより、前記第2のシリコン膜に金属シリサイド膜を形成する工程と、を有することを特徴とする付記1乃至付記14のいずれかに記載の半導体装置の製造方法。
(付記16)前記第1及び第2のシリコン膜の前記パターンはトランジスタのゲート電極であることを特徴とする付記1乃至付記15のいずれかに記載の半導体装置の製造方法。
(付記17)前記第3エッチング工程の後、前記半導体基板全面に第2絶縁膜を形成する工程と、前記第2絶縁膜をエッチバックして前記ゲート電極の側面にサイドウォールスペーサとして残す工程と、前記ゲート電極及び前記サイドウォールスペーサをマスクにして前記半導体基板上に不純物を導入してソース/ドレイン領域を形成する工程と、を有することを特徴とする付記16に記載の半導体装置の製造方法。
(付記18)前記第1のシリコン膜又は前記第2のシリコン膜がアモルファスシリコン膜である場合、前記半導体基板全面に前記第2絶縁膜を形成する工程の後、前記第2絶縁膜をエッチバックして前記ゲート電極の側面にサイドウォールスペーサとして残す工程の前に、前記第1のシリコン膜又は第2のシリコン膜を加熱することにより、前記アモルファスシリコン膜を結晶化する工程とを有することを特徴とする付記16又は付記17に記載の半導体装置の製造方法。
(付記19)半導体基板の上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に配設され、1×1018atoms/cm3以上の第一導電型不純物濃度を有する第1のシリコン膜と、前記第1のシリコン膜上に配設され、1×1018atoms/cm3未満の第一導電型不純物濃度を有する第2のシリコン膜とからなり、前記第1のシリコン膜と前記第2のシリコン膜との界面における幅が、前記第1のシリコン膜の底面における幅の90%以上であるゲート電極と、前記ゲート電極の両側で前記半導体基板内に形成されたソース/ドレイン領域と、を有することを特徴とする半導体装置。
図1(a)〜(c)は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その1)である。 図2(a)〜(c)は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その2)である。 図3(a)〜(c)は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その3)である。 図4(a)〜(c)は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その4)である。 図5(a)〜(c)は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その5)である。 図6(a)〜(c)は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その6)である。 図7(a)〜(c)は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その7)である。 図8は、本発明の実施形態に係る半導体装置を構成するシリコン膜のパターニングに使用されるCHのガス流量比αとパターン形状の関係を示す図である。 図9は、MOSトランジスタを構成するゲート電極を示す断面図である。
符号の説明
1 シリコン基板(半導体基板)
2 Pウェル
3 Nウェル
4 素子分離層
5 ゲート絶縁膜
6 第1のシリコン膜
9 第2のシリコン膜
10m ハードマスク
11 レジストパターン
12a,12b ゲート電極
13、14 ソース/ドレイン領域
15s サイドウォール
18〜21 シリサイド膜

Claims (10)

  1. 半導体基板の上に第1絶縁膜を形成する工程と、
    前記第1絶縁膜上に第1のシリコン膜を形成する工程と、
    前記第1のシリコン膜上に第2のシリコン膜を形成する工程と、
    前記第2のシリコン膜上に所定パターンのマスク層を形成する工程と、
    前記マスク層をエッチングマスクとして、前記第2のシリコン膜を前記第1のシリコン膜が露出しない深さまで第1条件によりエッチングする第1エッチング工程と、
    第1条件に比べて垂直エッチング成分比の高い第2条件によって、前記第2のシリコン膜の残りと前記第1のシリコン膜を前記第1絶縁膜が露出しない深さまでエッチングする第2エッチング工程と、
    前記第2条件に比べて、前記第1絶縁膜のエッチングレートに対する前記第1のシリコン膜のエッチングレートの比が大きな第3条件により前記第1のシリコン膜の残りをエッチングする第3エッチング工程とを有し、
    前記第1のシリコン膜の第一導電型不純物濃度は、前記第2のシリコン膜の第一導電型不純物濃度よりも高いこと
    を特徴とする半導体装置の製造方法。
  2. 前記第1条件によって、前記第2のシリコン膜は膜厚の70%以上の深さまでエッチングされることを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記第1のシリコン膜のうち前記第2のエッチング工程により除去される厚さは20nm以上であることを特徴とする請求項1又は請求項2に記載の半導体装置の製造方法。
  4. 前記第2エッチング工程でエッチングされる前記第1のシリコン膜の前記第一導電型不純物濃度は1×1018atoms/cm3以上であることを特徴とする請求項1乃至請求項3のいずれか1項に記載の半導体装置の製造方法。
  5. 前記第2エッチング工程は、CH(x、yは原子数)ガスを含む第2反応ガスを使用する条件を含むこと特徴とする請求項1乃至請求項4のいずれか1項に記載の半導体装置の製造方法。
  6. 前記CHガスは、CHとCHFとCHFの少なくとも1つ含むことを特徴とする請求項5に記載の半導体装置の製造方法。
  7. 前記第2反応ガスには、Cl、HBr、HI、Brのうち少なくとも1つのガスを含むことを特徴とする請求項5又は請求項6に記載の半導体装置の製造方法。
  8. 前記第2反応ガスの総流量に対する前記CHのガス流量比は2〜5流量%であることを特徴とする請求項5乃至請求項7のいずれか1項に記載の半導体装置の製造方法。
  9. 前記第3エッチング工程は、
    前記第3条件でエッチングを行う第1ステップと、
    前記第1絶縁膜のエッチングレートに対する前記第1のシリコン膜のエッチングレート比が、前記第3条件よりも大きくなる第4条件でエッチングを行う第2ステップと、
    を有することを特徴とする請求項1乃至付請求項8のいずれか1項に記載の半導体装置の製造方法。
  10. 半導体基板の上に形成されたゲート絶縁膜と、
    前記ゲート絶縁膜上に配設され、1×1018atoms/cm3以上の第一導電型不純物濃度を有する第1のシリコン膜と、前記第1のシリコン膜上に配設され、1×1018atoms/cm3未満の第一導電型不純物濃度を有する第2のシリコン膜とからなり、前記第1のシリコン膜と前記第2のシリコン膜との界面における幅が、前記第1のシリコン膜の底面における幅の90%以上であるゲート電極と、
    前記ゲート電極の両側で前記半導体基板内に形成されたソース/ドレイン領域と、
    を有することを特徴とする半導体装置。
JP2007072343A 2007-03-20 2007-03-20 半導体装置の製造方法 Expired - Fee Related JP5119696B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2007072343A JP5119696B2 (ja) 2007-03-20 2007-03-20 半導体装置の製造方法
TW097108666A TWI377647B (en) 2007-03-20 2008-03-12 Semiconductor device and method of manufacturing the same
US12/052,195 US7888268B2 (en) 2007-03-20 2008-03-20 Method of forming a gate layer with multiple ecthing steps

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007072343A JP5119696B2 (ja) 2007-03-20 2007-03-20 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2008235534A true JP2008235534A (ja) 2008-10-02
JP5119696B2 JP5119696B2 (ja) 2013-01-16

Family

ID=39773805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007072343A Expired - Fee Related JP5119696B2 (ja) 2007-03-20 2007-03-20 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US7888268B2 (ja)
JP (1) JP5119696B2 (ja)
TW (1) TWI377647B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010186877A (ja) * 2009-02-12 2010-08-26 Renesas Electronics Corp 半導体装置およびその製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468239A (zh) * 2010-11-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05190772A (ja) * 1992-01-13 1993-07-30 Sony Corp 半導体装置及びその製造方法
JPH0982946A (ja) * 1995-09-14 1997-03-28 Ricoh Co Ltd Mosトランジスタのポリシリコンゲート電極製造方法
JPH1050862A (ja) * 1996-08-07 1998-02-20 Mitsubishi Electric Corp 半導体装置
JPH10242065A (ja) * 1997-02-20 1998-09-11 Siemens Ag ポリシリコン層及び層構造の製造方法並びにパターニング方法
JP2000164732A (ja) * 1998-11-30 2000-06-16 Sony Corp 半導体装置およびその製造方法
JP2002368127A (ja) * 2001-04-06 2002-12-20 Hynix Semiconductor Inc 半導体素子のデュアルゲート製造方法
JP2003086701A (ja) * 2001-09-14 2003-03-20 Ricoh Co Ltd 半導体装置及びその製造方法
JP2004214387A (ja) * 2002-12-27 2004-07-29 Fujitsu Ltd Cmos型半導体装置の製造方法
JP2004266249A (ja) * 2003-02-10 2004-09-24 Nec Electronics Corp 半導体装置の製造方法
JP2005223075A (ja) * 2004-02-04 2005-08-18 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
WO2005091338A2 (en) * 2004-03-17 2005-09-29 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
JP2006086295A (ja) * 2004-09-15 2006-03-30 Toshiba Corp 半導体装置の製造方法
JP2007042979A (ja) * 2005-08-05 2007-02-15 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100268923B1 (ko) * 1997-09-29 2000-10-16 김영환 반도체소자의이중게이트형성방법
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
KR100505068B1 (ko) * 2003-07-05 2005-07-29 삼성전자주식회사 반도체 소자의 다중 게이트 산화막 및 이를 포함하는게이트 전극 형성방법
US7528024B2 (en) * 2004-05-24 2009-05-05 Texas Instruments Incorporated Dual work function metal gate integration in semiconductor devices
US7332388B2 (en) * 2005-03-08 2008-02-19 Micron Technology, Inc. Method to simultaneously form both fully silicided and partially silicided dual work function transistor gates during the manufacture of a semiconductor device, semiconductor devices, and systems including same
US7671421B2 (en) * 2006-05-31 2010-03-02 International Business Machines Corporation CMOS structure and method for fabrication thereof using multiple crystallographic orientations and gate materials

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05190772A (ja) * 1992-01-13 1993-07-30 Sony Corp 半導体装置及びその製造方法
JPH0982946A (ja) * 1995-09-14 1997-03-28 Ricoh Co Ltd Mosトランジスタのポリシリコンゲート電極製造方法
JPH1050862A (ja) * 1996-08-07 1998-02-20 Mitsubishi Electric Corp 半導体装置
JP2008182200A (ja) * 1997-02-20 2008-08-07 Siemens Ag ポリシリコン層及び層構造のパターニング方法
JPH10242065A (ja) * 1997-02-20 1998-09-11 Siemens Ag ポリシリコン層及び層構造の製造方法並びにパターニング方法
JP2000164732A (ja) * 1998-11-30 2000-06-16 Sony Corp 半導体装置およびその製造方法
JP2002368127A (ja) * 2001-04-06 2002-12-20 Hynix Semiconductor Inc 半導体素子のデュアルゲート製造方法
JP2003086701A (ja) * 2001-09-14 2003-03-20 Ricoh Co Ltd 半導体装置及びその製造方法
JP2004214387A (ja) * 2002-12-27 2004-07-29 Fujitsu Ltd Cmos型半導体装置の製造方法
JP2004266249A (ja) * 2003-02-10 2004-09-24 Nec Electronics Corp 半導体装置の製造方法
JP2005223075A (ja) * 2004-02-04 2005-08-18 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
WO2005091338A2 (en) * 2004-03-17 2005-09-29 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
JP2007529904A (ja) * 2004-03-17 2007-10-25 ラム リサーチ コーポレーション デュアルドープポリシリコン及びシリコンゲルマニウムエッチング
JP2006086295A (ja) * 2004-09-15 2006-03-30 Toshiba Corp 半導体装置の製造方法
JP2007042979A (ja) * 2005-08-05 2007-02-15 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010186877A (ja) * 2009-02-12 2010-08-26 Renesas Electronics Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
TW200845298A (en) 2008-11-16
JP5119696B2 (ja) 2013-01-16
US7888268B2 (en) 2011-02-15
TWI377647B (en) 2012-11-21
US20080230816A1 (en) 2008-09-25

Similar Documents

Publication Publication Date Title
JP5211503B2 (ja) 半導体装置の製造方法
US7144798B2 (en) Semiconductor memory devices having extending contact pads and related methods
JP4967313B2 (ja) 半導体装置の製造方法
US20060199324A1 (en) Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors
JP2004152995A (ja) 半導体装置の製造方法
JP2005072316A (ja) 半導体装置の製造方法
TWI827712B (zh) 半導體裝置與其形成方法
JP2010537425A (ja) 低コンタクト抵抗を示すmos構造およびその形成方法
US8044470B2 (en) Semiconductor device and method of fabricating the same
US7879737B2 (en) Methods for fabricating improved gate dielectrics
JP2011096999A (ja) 半導体装置の製造方法。
KR100658130B1 (ko) 반도체 장치 및 그 제조 방법
JP5277628B2 (ja) 半導体装置の製造方法
JP2011086679A (ja) 半導体装置および半導体装置の製造方法
JP2005150267A (ja) 半導体装置とその製造方法
KR100758112B1 (ko) 반도체 장치 및 그 제조 방법
JP2006202860A (ja) 半導体装置及びその製造方法
JP5119696B2 (ja) 半導体装置の製造方法
JP2008192661A (ja) 半導体装置の製造方法
JP5446558B2 (ja) 半導体装置の製造方法
JP5601026B2 (ja) 半導体装置の製造方法
JP2010067912A (ja) 半導体装置及びその製造方法
JP2007053394A (ja) 半導体装置とその製造方法
KR100432789B1 (ko) 반도체 소자의 제조 방법
JPH10303422A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120903

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121008

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151102

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5119696

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees