JP2010537425A - 低コンタクト抵抗を示すmos構造およびその形成方法 - Google Patents

低コンタクト抵抗を示すmos構造およびその形成方法 Download PDF

Info

Publication number
JP2010537425A
JP2010537425A JP2010521843A JP2010521843A JP2010537425A JP 2010537425 A JP2010537425 A JP 2010537425A JP 2010521843 A JP2010521843 A JP 2010521843A JP 2010521843 A JP2010521843 A JP 2010521843A JP 2010537425 A JP2010537425 A JP 2010537425A
Authority
JP
Japan
Prior art keywords
contact
forming
layer
trench
metal silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010521843A
Other languages
English (en)
Inventor
バラスブラマニアン スリラム
Original Assignee
グローバルファウンドリーズ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by グローバルファウンドリーズ・インコーポレイテッド filed Critical グローバルファウンドリーズ・インコーポレイテッド
Publication of JP2010537425A publication Critical patent/JP2010537425A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66363Thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

低コンタクト抵抗を示すMOS構造(100,200)と、このようなMOS構造の形成方法が提供される。一方法では、半導体基板(106)が提供され、前記半導体基板上にゲートスタック(146)が形成される。前記半導体基板内に、前記ゲートスタックと整合された不純物ドープ領域(116)が形成される。前記不純物ドープ領域から延びる隣接するコンタクトフィン(186)が形成され、前記コンタクトフィン上に金属シリサイド層(126)が形成される。前記コンタクトフィンの少なくとも1つに存在する前記金属シリサイド層の少なくとも一部に対するコンタクト(122)が形成される。

Description

本発明は、一般に、MOS構造およびMOS構造の形成方法に関し、より詳細には、低コンタクト抵抗を示すMOS構造およびこのようなMOS構造の形成方法に関する。
今日の集積回路(IC)の大半は、複数の相互接続された電界効果トランジスタ(FET)(金属酸化物半導体電界効果トランジスタ(MOSFETまたはMOSトランジスタ)とも呼ばれる)を使用して実装されている。MOSトランジスタは、半導体基板の上を覆って形成された制御電極としてのゲート電極と、半導体基板内に形成され、この間を電流が流れることができる、離れて設けられたソース領域およびドレイン領域とを有する。ゲート電極に印加される制御電圧が、ソース領域とドレイン領域間にゲート電極の下に存在する、半導体内のチャネルを流れる電流を制御する。
MOSトランジスタへのアクセスは、通常、2つのMOSトランジスタのゲート電極間のソース/ドレイン領域上に形成される導電性コンタクトによって行われる。導電性コンタクトは、通常、ソース/ドレイン領域の上に絶縁層を堆積し、絶縁層をエッチングしてコンタクト開口を形成することにより形成される。コンタクト開口に、薄いバリア層(一般に、窒化チタンおよび/または他の金属や合金)が堆積され、次に、開口に、化学気相成長法によって形成したタングステンの層が埋め込まれる。
1つのICチップに集積される回路数がますます増大する傾向が続いている。集積する回路数を増やすには、回路内の個々のデバイスのサイズとデバイス素子のサイズと間隔を微細化しなければならない。しかし、集積半導体デバイスの不断の微細化を制限する要因の1つが、MOSトランジスタのソース領域およびドレイン領域などのドープ領域に対するコンタクトの抵抗である。デバイスの微細化に伴い、コンタクトの幅も短縮され、コンタクトの幅の短縮に伴い、コンタクト抵抗がますます増大している。コンタクトの抵抗の増大の結果、デバイスの駆動電流が低下し、デバイス性能に悪影響を及ぼしている。
したがって、低コンタクト抵抗を示すMOS構造を提供することが望まれている。また、低コンタクト抵抗を示すMOS構造の形成方法を提供することも望まれている。更に、本発明のほかの望ましい特徴および性質は、添付の図面と本発明の背景技術を併せて読めば、下記の本発明の詳細な説明と添付の特許請求の範囲から明らかとなるであろう。
本発明の例示的な実施形態に係るMOS構造の形成方法が提供される。前記方法は、半導体基板を提供するステップと、前記半導体基板上にゲートスタックを形成するステップとを有する。前記半導体基板内に、前記ゲートスタックと整合された不純物ドープ領域が形成される。前記不純物ドープ領域から延びる隣接するコンタクトフィンが形成され、前記コンタクトフィン上に金属シリサイド層が形成される。前記コンタクトフィンの少なくとも1つに存在する前記金属シリサイド層の少なくとも一部に対するコンタクトが形成される。
本発明の例示的な別の実施形態に係るMOS構造の形成方法が提供される。前記方法は、半導体基板を提供するステップと、前記半導体基板上にゲートスタックを形成するステップとを有する。前記ゲートスタックをマスクとして使用して、前記ゲートスタックの近くに設けた半導体材料に不純物ドーパントが注入される。前記半導体材料は第1の表面を有する。前記半導体材料が前記トレンチ内にトレンチ表面を有するように、前記半導体材料にトレンチがエッチングされる。前記半導体材料の前記第1の表面上と前記トレンチ表面上とに金属シリサイド層が形成される。前記第1の表面上の前記金属シリサイド層の少なくとも一部と、前記トレンチ表面上の前記金属シリサイド層の少なくとも一部とに対するコンタクトが形成される。
本発明の例示的な実施形態に係るMOS構造が提供される。前記MOS構造は、半導体基板と、前記半導体基板上に形成されたゲートスタックと、前記半導体基板内に設けられ、前記ゲートスタックと自己整合された不純物ドープ領域と、を有する。前記不純物ドープ領域上に2つの隣接するコンタクトフィンが設けられ、前記2つの隣接するコンタクトフィンの上を金属シリサイド層が覆っている。前記2つの隣接するコンタクトフィンの少なくとも1つの少なくとも1つの側壁に存在する前記金属シリサイド層の少なくとも一部への導電性コンタクトが延びている。前記導電性コンタクトは、前記2つの隣接するコンタクトフィンの少なくとも1つを介して、前記ゲートスタックと電気的に連通している。
本発明の例示的な別の実施形態に係るMOS構造が提供される。前記MOS構造は、半導体基板と、前記半導体基板上に形成されたゲートスタックと、前記ゲートスタックの近くに設けられた不純物ドープ半導体材料と、を有する。前記不純物ドープ半導体材料は第1の表面を有する。前記不純物ドープ半導体材料内の少なくとも一部にトレンチが設けられている。前記不純物ドープ半導体材料は、前記トレンチ内にトレンチ表面を有する。前記第1の表面および前記トレンチ表面上に金属シリサイド層が設けられており、前記第1の表面上の前記金属シリサイド層の少なくとも一部と、前記トレンチ表面上の前記金属シリサイド層の少なくとも一部とに導電性コンタクトが延びている。
本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の別の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の別の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の別の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の別の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の別の例示的な実施形態に係るMOS構造の形成方法を示す断面図で。 本発明の更に別の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 本発明の別の例示的な実施形態に係るMOS構造の形成方法を示す断面図。 2つのMOSトランジスタと、これらと電気的に連通している導電性コンタクトとを有する従来のMOS構造の断面図。
以下、図面を参照して本発明を記載する。図面において同じ参照符号は類似する要素を参照している。
以下の発明の詳細な説明は、性質上、単に例示に過ぎず、本発明または本発明の用途および使用を制限することを意図するものではない。更に、上に記載した発明の背景または以下の発明の詳細な説明に記載する任意の理論によって制限されることを意図するものでもない。
図16は、本発明の例示的な実施形態に係るMOS構造100の断面図である。MOS構造100は、第1のMOSトランジスタ102と第2のMOSトランジスタ104とを備えて図示されている。「MOSトランジスタ」という用語は、適切には、金属のゲート電極と酸化物のゲート絶縁物を有するデバイスを指すが、この用語は、半導体基板の上に設けられたゲート絶縁物(酸化物または他の絶縁体)の上に設けられた導電性のゲート電極(金属または他の導電材料)を備える任意の半導体デバイスを指すものとして全体にわたって使用される。MOSトランジスタ102,104は、PMOSトランジスタでもNMOSトランジスタでもよい。半導体デバイス100は、2つのMOSトランジスタのみを備えるように図示されているが、いうまでもなく、半導体デバイス100は、任意の個数のNMOSトランジスタおよび/またはPMOSトランジスタを有してもよい。デバイス100が、所望の回路機能を実装するのに必要な、このようなトランジスタを多数備えてもよいということを、当業者は認めるであろう。
MOSトランジスタ102,104は、半導体基板106上に形成され、半導体基板106は、図に示すようなバルクシリコンウェハでも、絶縁基板上に設けた薄いシリコン層(SOI)であってもよい。半導体基板106の少なくとも一部108が、NMOSトランジスタを形成するためにP導電型決定不純物でドープされ、PMOSトランジスタを形成するためにN導電型決定不純物でドープされている。部分108には、例えば、ドーパントイオン(ボロンまたはヒ素など)を注入し、その後熱アニールを実施して不純物ドープされうる。
MOSトランジスタ102,104は、それぞれ、半導体基板106の表面112にゲート絶縁物110が形成されている。ゲート絶縁物100の上をゲート電極114が覆っている。ゲート電極114は、多結晶シリコンまたは金属などのほかの導電材料から形成されうる。ソースおよびドレイン拡張部116と深部ソースおよびドレイン領域118とが、シリコン基板106内に設けられており、シリコン基板106内のゲート電極114の下に設けたチャネル領域120によって分離されている。
また、MOS構造100は、MOSトランジスタ102,104の上を覆っている誘電層124内に形成された導電性コンタクト122も有する。導電性コンタクト122は、金属シリサイド層126上に設けられ、金属シリサイド層126と電気的に連通しており、金属シリサイド層126は、金属シリサイド層126とコンタクト122間の界面を広げる特徴の上に少なくとも部分的に設けられている。本実施形態では、この特徴は、2つの隣接する独立した(stand-alone)コンタクトフィン186を備える。コンタクトフィン186は、基板106の表面112上に設けられ、表面112から、両方向の矢印136で示す高さに延在または突出している。図28は、半導体基板106の表面112に金属シリサイド層126が形成されている従来のMOS構造の断面図である。図16と図28を手短に参照すると、図16では、両方向の矢印134で示すコンタクト122の幅が短いものの、コンタクトフィン186と、その上に設けた金属シリサイド層126とが与えるコンタクト122との界面130が、図28の基板106の表面112の、コンタクトフィン186を設けなかった場合に金属シリサイド層126が存在する部分の界面132よりも広くなっている。具体的には、界面132の面積は、コンタクトの幅W(134)と直交する長さL(図示せず)の積、すなわち、L×W(134)となる。これに対して、本発明の一実施形態では、界面130の面積は、幅W(134)とフィン186の高さH(136)×4との和に長さLを掛けた値、すなわち、L×(W(134)+4H(136))となる。界面の表面積を広げると、導電性コンタクト122とソース/ドレイン領域118間のコンタクト抵抗を低下させ、このため、デバイス性能を向上させることができる。
図1〜16は、図16のMOS構造100などの、本発明の例示的な実施形態係るMOS構造の形成方法を断面図で示す。MOSの構成要素の製造におけるさまざまな工程が公知であるため、簡潔を期するために、従来のステップの多くは、本明細書で簡潔に触れるにとどめるか、あるいは、公知のプロセスについては詳しく記載せずに完全に省略する。
図1を参照すると、本方法では最初に、半導体基板106の上を覆ってゲート絶縁材料140が形成される。半導体基板は、好ましくはシリコン基板であり、「シリコン基板」との用語は、本明細書では、半導体産業において一般に使用される比較的純度の高いシリコン材料のほか、シリコンと、他の元素(ゲルマニウム、炭素など)との混合物を含むように用いられる。別の実施形態では、半導体基板は、ゲルマニウム、ガリウムヒ素またはほかの半導体材料でもよい。以降、半導体基板を、便宜のために「シリコン基板」と呼ぶが、これに限定するものではない。シリコン基板は、バルクのシリコンウェハであっても、キャリヤウェハによって支持されている絶縁層上のシリコンの薄膜層(一般に、シリコンオンインシュレータまたはSOIと呼ばれる)であってもよい。シリコン基板の少なくとも表面108が、例えば、Pチャネル(PMOS)トランジスタの場合はN型ウェル領域、Nチャネル(NMOS)トランジスタトランジスタの場合はP型ウェル領域をそれぞれ形成することにより、不純物ドープされる。
従来の処理においては、ゲート絶縁材料の層140は、熱成長させた二酸化シリコンの層であっても、(図に示すような)酸化シリコン、窒化シリコンなどの堆積させた絶縁物であってもよい。堆積させた絶縁物は、例えば、化学気相成長法(CVD)、低圧化学気相成長法(LPCVD)、またはプラズマ化学気相成長法(PECVD)によって堆積することができる。ゲート絶縁層140の膜厚は、好ましくは約1〜10nmであるが、実際の膜厚は、実装する回路におけるトランジスタのアプリケーションに基づいて決定することができる。
ゲート絶縁材料140の上を覆って、ゲート電極材料の層142が形成される。本発明の一実施形態によれば、ゲート電極材料は多結晶シリコンである。多結晶シリコンの層は、好ましくは非ドープの多結晶シリコンとして堆積され、その後、イオン注入によって不純物がドープされる。多結晶シリコンは、シランの水素還元反応によるLPCVDによって堆積することができる。多結晶シリコンの表面に、窒化シリコンまたは酸窒化シリコンなどのハードマスク材料の層144が堆積されうる。ハードマスク材料も、LPCVDによって約50nmの膜厚に堆積されうる。いうまでもなく、別の実施形態として、多結晶シリコンの表面に、ハードマスク材料に代えてフォトレジストを堆積してもよい。
ハードマスク層144が、フォトリソグラフィによってパターニングされて、その下のゲート電極材料層142とゲート絶縁材料層140がエッチングされ、図2に示すように、ゲート絶縁物110とゲート電極114をそれぞれ有するゲートスタック146が形成される。多結晶シリコンは、例えば、ClまたはHBr/Oの化学物質を使用する反応性イオンエッチング(RIE)によって所望のパターンにエッチングでき、ハードマスクとゲート絶縁材料は、例えば、CHF、CFまたはSFの化学物質によってエッチングすることができる。ゲート電極114を、酸化雰囲気中で高温に曝すことにより、ゲートスタック146の側壁150の周囲に再酸化側壁スペーサ148が形成される。例えば、再酸化側壁スペーサ148の膜厚は、約3〜4nmなどである。再酸化スペーサ148の形成時に、基板106の表面112の露出部分138も酸化される。
再酸化側壁スペーサ148の形成後に、図3に示すように、MOS構造100の上を覆って、誘電材料のブランケット層152が堆積される。誘電材料層は、例えば、二酸化シリコンを含んでもよい。誘電材料層152が、上で説明したように異方的にエッチングされ、図4に示すように、再酸化側壁スペーサ148に隣接して、第2のスペーサ154(「オフセットスペーサ」と呼ばれることが多い)が形成される。基板106の酸化部分138も、この時点で除去されてもよい。例えば、オフセットスペーサの膜厚は、約10〜20nmなどである。再酸化スペーサ148とオフセットスペーサ154は、ゲートスタック146と共に、ソースおよびドレイン拡張部116を形成するためのイオン注入マスクとして使用される。ゲートスタック146とスペーサ148,154とをイオン注入マスクとして使用することにより、ソースおよびドレイン拡張部が、ゲートスタックおよびスペーサと自己整合される。ソースおよびドレイン拡張部は、公知の方法によってシリコン基板106に不純物をドープする(例えば、矢印156で示すようにドーパントイオンをイオン注入し、その後熱アニールを実施するなど)ことで、適宜形成される。NチャネルMOSトランジスタの場合、ソースおよびドレイン拡張部116は、好ましくはヒ素イオンを注入することにより形成されるが、リンイオンを使用してもよい。PチャネルMOSトランジスタの場合、ソースおよびドレイン拡張部は、好ましくはボロンイオンを注入することにより形成される。
図5を参照すると、MOS構造100の上を覆って、例えば、窒化シリコンまたは酸窒化シリコンなどの誘電材料のブランケット層158が堆積される。その後、誘電材料の層158が、例えば、CHF、CFまたはSFなどの化学物質を使用するRIEによって異方的にエッチングされ、図6に示すように、オフセットスペーサ154に隣接して設けられた追加のスペーサ160が形成される。ゲートスタック146が、再酸化側壁スペーサ148、オフセットスペーサ154および追加のスペーサ160を有して図示されているが、いうまでもなく、ゲートスタック146は、所望の回路のアプリケーションまたは設計に適した任意の組成を有するスペーサを、何層有してもよい。
図7を参照すると、MOS構造100の上を覆って、ブランケット誘電材料層164、好ましくは二酸化シリコン層が堆積される。例えば、誘電材料層164は、約20〜50nmの膜厚に堆積される。フォトレジスト166の層が塗布され、ゲートスタック146をマスクするためにパターニングされて、半導体基板106の表面112上に設けられ、ソースおよびドレイン拡張部116の上を覆っている誘電材料層164の部分168が露出される。その後、誘電材料層164の露出部分168が、図8に示すように、例えば、CHF、CFまたはSFの化学物質中のRIE等のエッチングなどによって除去され、半導体基板106の表面112が露出される。その後、従来の方法によってフォトレジストが除去されうる。
本発明の例示的な実施形態に係る方法では、続いて、図9に示すように、露出されたシリコン表面112上にシリコン層170がエピタキシャル成長される。エピタキシャルシリコン層170は、HClの存在下でシラン(SiH)または二塩化シラン(SiHCl)を還元させることで成長させることができる。塩素の供給源が存在することで、成長の選択的な性質が促進される、すなわち、エピタキシャルシリコンは、二酸化シリコン164よりも、露出されたシリコン表面112上に優先的に成長する。エピタキシャルシリコン層170には、比較的純度の高いシリコン材料が含まれても、ゲルマニウム、炭素などの他の元素が混合されたシリコンが含まれてもよい。エピタキシャルシリコン層170は、特定のデバイス設計またはアプリケーションのために望ましい任意の膜厚に成長されうる。例示的な実施形態では、エピタキシャルシリコン層170は、約10nm〜約50nmの範囲の膜厚に成長される。
図10を参照すると、例示的な一実施形態では、シリコン層170のエピタキシャル成長後に、ゲートスタック146、再酸化側壁スペーサ148、オフセットスペーサ154、追加のスペーサ160および誘電材料層164を、イオン注入マスクとして使用し、シリコン基板106内にソースおよびドレイン領域118が形成され、このため、MOSトランジスタ102,104が形成される。ソース領域およびドレイン領域は、公知の方法によってシリコン基板106に不純物をドープする(例えば、矢印162で示すようにドーパントイオンをイオン注入し、その後熱アニールを実施するなど)ことで、適宜形成される。NチャネルMOSトランジスタの場合、ソースおよびドレイン領域118は、好ましくはヒ素イオンを注入することにより形成されるが、リンイオンを使用してもよい。PチャネルMOSトランジスタの場合、ソースおよびドレイン領域118は、好ましくはボロンイオンを注入することにより形成される。ソースおよびドレイン領域118の形成時に、エピタキシャルシリコン層170も、ドーパントイオン162の注入によって不純物ドープされる。
上記の方法を、エピタキシャルシリコン層170を成長させる前にソースおよびドレイン拡張部116を形成し、エピタキシャルシリコン層170の成長後にソースおよびドレイン領域118を形成して説明した。しかし、サーマルバジェットが十分に低い場合など、図17〜20に示すように、エピタキシャルシリコン層の成長後に、ソースおよびドレイン拡張部とソースおよびドレイン領域の両方を形成してもよいことが理解されよう。本発明の例示的な実施形態によれば、図2に示した再酸化側壁スペーサ148の形成後に、MOS構造100の上を覆って、例えば二酸化シリコンなどの誘電材料のブランケット層(図示なし)が堆積される。上で説明したように、誘電材料層の上を覆ってフォトレジスト(図示せず)が形成され、パターニングされて、誘電材料層がエッチングされ、図17に示すように、再酸化側壁スペーサ148に隣接してディスポーザブルスペーサ層190が形成されると共に、ゲートスタック146間の基板106が露出される。ディスポーザブルスペーサ層190の膜厚は、オフセットスペーサ154の膜厚と隣接する追加のスペーサ160の膜厚を合計した値に実質的に等しい。次に、露出された半導体基板106が、例えば、HBr/OおよびClの化学物質中のRIEによってエッチングされ、トレンチ192が形成される。例示的な一実施形態では、トレンチの深さは、約30〜約50nmである。
トレンチ192の形成後に、図18に示すように、トレンチ192内にシリコン層194がエピタキシャル成長される。エピタキシャルシリコン層194は、例えば、エピタキシャルシリコン層170の成長に関して上で説明したプロセスを使用して成長させることができる。エピタキシャルシリコン層194には、比較的純度の高いシリコン材料が含まれても、例えば、半導体基板106に応力を与えるための、ゲルマニウム、炭素などの他の元素が混合されたシリコンが含まれてもよい。エピタキシャルシリコン層194は、特定のデバイス設計またはアプリケーションのために望ましい任意の膜厚に成長されうる。例示的な実施形態では、エピタキシャルシリコン層194は、例えば、約40nm〜約70nmの膜厚に成長されうる。ディスポーザブルスペーサ層190は、例えば、CHF、CFまたはSFの化学物質中のRIEエッチングによって、あるいは希釈HFなどのウェットエッチャントなどによって除去され、基板106の一部が露出される。図19を参照すると、次に、上で説明したようにオフセットスペーサ154が形成されうる。オフセットスペーサ154の形成後に、再酸化スペーサ148およびオフセットスペーサ154を、ゲートスタック146と共にイオン注入マスクとして使用して、エピタキシャルシリコン層194と基板106の露出部分内にソースおよびドレイン拡張部116が形成される。ゲートスタック146とスペーサ148,154とをイオン注入マスクとして使用することにより、ソースおよびドレイン拡張部が、ゲートスタックおよびスペーサと自己整合される。ソースおよびドレイン拡張部は、ドーパントイオン156のイオン注入によってなど、上で説明したプロセスを使用して形成されうる。
図20を参照すると、ソースおよびドレイン拡張部116の形成後に、スペーサ190を除去したためにできた空隙を埋めるために、追加のスペーサ160が上で説明したように形成されうる。留意されるように、ディスポーザブルスペーサ層190を使用して、ゲート電極114上へのエピタキシャルシリコンの成長を阻止したため、この実施形態では誘電層164が不要である。ゲートスタック146、再酸化側壁スペーサ148、オフセットスペーサ154および追加のスペーサ160を、イオン注入マスクとして使用して、エピタキシャルシリコン層194およびシリコン基板106内に、深部ソースおよびドレイン領域118が形成され、このため、MOSトランジスタ102,104が形成される。ソースおよびドレイン領域は、ドーパント162のイオン注入などにより、上で説明したように形成することができる。いうまでもなく、ソースおよびドレイン拡張部とソースおよびドレイン領域とは、本方法のほかのさまざまなステップの前か後に形成することができる。例えば、ソースおよびドレイン拡張部とソースおよびドレイン領域の両方が、エピタキシャルシリコン層の成長前に形成されてもよいが、エピタキシャルシリコン層の形成後に、エピタキシャルシリコン層にドーパントイオンを注入することが望ましいことがある。
ソースおよびドレイン領域とソースおよびドレイン拡張部を形成する時点がいつであっても、本発明の例示的な実施形態に係る方法では、次に、図11に示すように、MOS構造100の上を覆って、誘電材料層172、好ましくは二酸化シリコン層が堆積される。例えば、誘電材料層172は、約20〜約50nmの膜厚に堆積される。フォトレジスト(図示せず)の層が塗布されパターニングされて、誘電材料層172の露出されている部分が、例えば、CHF、CFまたはSFの化学物質中のRIE等のエッチングなどによって除去され、図12に示すように、エピタキシャルシリコン層170上にピラー174が形成される。その後、従来の方法によってフォトレジストが除去されうる。MOS構造100の上を覆って、ピラー174とはエッチング特性が異なる別の誘電材料の層176が堆積される。例えば、ピラー174が酸化シリコンから形成される場合、層176は窒化シリコンを含んでもよい。例えば、誘電材料層176は、約40nm〜約80nmの膜厚に堆積される。
その後、誘電材料の層176が、例えば、CHF、CFまたはSFの化学物質を使用するRIEによって異方的にエッチングされ、図13に示すように、ピラー174の周囲とゲートスタック146の周囲とに設けられたスペーサ178が形成される。次に、ピラー174がエッチングされて、図14に示すように、エピタキシャルシリコン層170上に独立した(free-standing)スペーサ178が残される。露出された誘電層164の少なくとも一部も、ピラー174のエッチング中にエッチングされうる。
図15を参照すると、スペーサ178をエッチングマスクとして使用して、エピタキシャルシリコン層170の露出部分がエッチングされ、基板106の表面112上に設けされたフィン128が形成される。フィン128は、それぞれが、ゲートスタック146間に設けられた独立したフィンである2つのコンタクトフィン186を有する。エピタキシャルシリコン層170は、例えば、HBr/OおよびClの化学物質を使用する反応性イオンエッチングによってエッチングされる。ソースおよびドレイン領域118を、図10を参照して上で説明したように形成しない場合には、その後、上で説明したように、シリコン基板106に適宜不純物をドープすることによって、ソースおよびドレイン領域118が形成されうる。ソースおよびドレイン領域118の形成中に、フィン128もドープされる。MOS構造100の上を覆って、シリサイド形成金属のブランケット層180が堆積される。シリサイド形成金属層180が、例えば、RTAによって加熱され、図16に示すように、フィン128上と基板106の表面112上とに金属シリサイド層126が形成される。シリサイド形成金属は、例えば、コバルト、ニッケル、レニウム、ルテニウムまたはパラジウム、あるいはこれらの合金などである。シリサイド形成金属は、例えば、スパッタリングによって、約5〜50nmの膜厚、好ましくは約10nmの膜厚に堆積されうる。露出されたシリコンに接してないシリサイド形成金属(例えば、誘電層164上に堆積されたシリサイド形成金属)は、RTA中に反応せずシリサイドが形成されず、後からH/HSOまたはHNO/HCl溶液中のウェットエッチングによって除去することができる。金属シリサイド層の形成後に、MOSトランジスタ102,104とフィン128の上を覆って、誘電材料の層124が堆積される。
本発明の例示的な実施形態に係る方法では、続いて、誘電材料層124がパターニングおよびエッチングされて、誘電材料層124を貫通するコンタクト開口182が形成されると共に、コンタクトフィン186上と基板106の表面112上の金属シリサイド層126の少なくとも一部が露出される。パターニング前に、誘電材料層がCMPプロセスによって平坦化されてもよい。例示的な一実施形態では、1つのフィン186の1つの側壁184に存在する金属シリサイド層126部分が少なくとも露出される。本発明の別の例示的な実施形態では、2つのコンタクトフィン186の2つの側壁184に存在する金属シリサイド層126部分が少なくとも露出される。所望の回路機能を実装するために、ソースおよびドレイン領域を、集積回路内の他のデバイスと適宜電気的に接続可能とするために、コンタクト開口182内に導電性コンタクト122が形成される。本発明の例示的な実施形態では、コンタクト開口180内に、例えばTiN(図示せず)などの薄い第1のバリア層と、例えばチタンなどの薄い第2のバリア層(図示せず)とを堆積し、続いて、例えばWなどの導電性プラグ(図示せず)を堆積することで、導電性コンタクト122が形成される。バリア層は、導電性プラグの形成時に使用される六フッ化タングステンWFが、誘電材料層124中に拡散するのを防ぐと共に、コンタクト開口の壁に対する、導電性プラグの密着性を改善するために使用される。導電性コンタクト122を形成するために、ほかの層を使用してもよいことはいうまでもない。例えば、バリア層の形成前に、タンタルの層を堆積してもよい。本発明の例示的な実施形態では、コンタクト122の幅134が十分に広く、コンタクト122が、コンタクトフィン186の少なくとも1つの側壁184の少なくとも1つに存在する金属シリサイド層126と物理的に接触するように、コンタクト122がコンタクトフィン186に対して設けられる。したがって、界面130が、幅134よりも広くなっている、すなわち、(図28の)コンタクト122と、基板106の表面112の、コンタクトフィン186を設けずに金属シリサイド層126を設けた部分との間の界面132よりも広くなっている。この点において、上で説明したように、コンタクト122と金属シリサイド126間の界面を広けることで、コンタ
クト抵抗を低下させ、このため、デバイス性能を向上させることができる。
図21〜25は、本発明の別の例示的な実施形態に係るMOS構造200の形成方法を断面図で示す。図21〜25に示す方法は、コンタクトとソースおよびドレイン領域との間の界面の表面積を広げる特徴を形成するという点で、図1〜16に示した方法と似ている。しかし、図21〜25に示す方法で形成される特徴は、フィンではなくトレンチである。
この点において、本方法は、図1〜10に示したステップから始まり、エピタキシャルシリコン層170のドープ後に、図21に示すように、MOS構造200の上を覆って、誘電材料(酸化シリコンなど、好ましくは窒化シリコン)のコンフォーマルな層202が堆積される。例えば、誘電材料層202は、約15〜約50nmの膜厚に堆積されうる。層202が、上で説明したように異方的にエッチングされ、図22に示すように、ゲートスタック146の周囲にディスポーザブルスペーサ204が形成される。
図23を参照すると、ディスポーザブルスペーサ204およびゲートスタック146をエッチングマスクとして使用して、エピタキシャルシリコン層170がエッチングされ、トレンチ206が形成される。本発明の例示的な一実施形態では、トレンチ206が、エピタキシャルシリコン層170内で止まっている(terminates)。次にディスポーザブルスペーサ204が、例えば、ウェットクリーンステップ、またはエピタキシャルシリコン層170とシリコン基板106に対して高い選択性を有する異方性エッチングを使用して除去されうる。図24に示すように、MOS構造200の上を覆って、シリサイド形成金属のブランケット層180が堆積される。シリサイド形成金属層180が、例えば、RTAによって加熱され、図25に示すように、エピタキシャルシリコン層170上とトレンチ206内に金属シリサイド層126が形成される。
金属シリサイド層の形成後に、MOS構造200の上を覆って、誘電材料層124が堆積される。誘電材料層124をパターニングおよびエッチングして、誘電材料層124を貫通するコンタクト開口182が形成されると共に、エピタキシャルシリコン層170上の金属シリサイド層126が露出される。次に、上で説明したように、コンタクト開口182内に導電性コンタクト122が形成される。本発明の例示的な実施形態では、コンタクト122が、十分に広く、コンタクト122の少なくとも1つの側壁212が、トレンチ206内のトレンチ表面210ではなく、金属シリサイド化エピタキシャルシリコン層170の上面208で止まる(terminates)ように、MOSトランジスタ102,104に対して設けられている。この点において、導電性コンタクト122と金属シリサイド層126間の界面130が、少なくとも、両方向の矢印214で示す側壁212の高さだけ、図28の界面132よりも広くなる。したがって、界面を広けることで、コンタクト抵抗を低下させ、このため、デバイス性能を向上させることができる。本発明の好ましい実施形態では、コンタクト122が、十分に広く、コンタクト122の2つの側壁212が、トレンチ206内のトレンチ表面210ではなく、金属シリサイド化エピタキシャルシリコン層170の上面208で止まるように、MOSトランジスタ102,104に対して設けられている。
本発明の別の例示的な実施形態では、図26に示すように、トレンチ206が、エピタキシャルシリコン層170を貫通し、ソース/ドレイン領域118内で止まっている。この点において、コンタクト122と金属シリサイド126間の界面が、トレンチの深さにより一層広くなる。本発明の更に別の例示的な実施形態では、図27に示すように、エピタキシャルシリコン層170がなく、トレンチ206が、基板106の表面112から延び、ソース/ドレイン領域118内で止まっている。この点において、シリコン層170のエピタキシャル成長のステップを省略でき、デバイスの製造を迅速に行うことができる。
上記のように、低コンタクト抵抗を示すMOS構造を提示した。このMOS構造は、導電性コンタクトと、MOSデバイスのソースおよびドレイン領域に電気的に結合された金属シリサイド層との間の界面の表面積を広げることができる特徴を有する。コンタクト抵抗のほとんどが、コンタクトと金属シリサイド層の界面にあるバリア層材料に起因するため(例えば、TiN/Tiバリア層の組み合わせの抵抗率は、タングステンコンタクトの抵抗率よりも極めて高いため)、界面の面積を広げることで、コンタクト抵抗を有効に低下させることができる。
上に記載した発明の詳細な説明では少なくとも例示的な一実施形態を提示したが、膨大な数の変形例が存在することが理解されるべきである。少なくとも1つの例示的な実施形態は例に過ぎず、本発明の範囲、利用可能性または構成をいかなる形であれ制限することを意図するものではないことが理解されるべきである。上記の詳細な説明は、当業者にとって、本発明の例示的な実施形態を実装するうえで有用な道標となり、添付の特許請求の範囲とその法的な均等物に記載の本発明の範囲から逸脱することなく、例示的な実施形態に記載した要素の機能および構成をさまざまに変更することができることが理解されよう。

Claims (10)

  1. MOS構造(100)の形成方法であって、
    半導体基板(106)を提供するステップと、
    前記半導体基板上にゲートスタック(146)を形成するステップと、
    前記半導体基板内に、前記ゲートスタックと整合された不純物ドープ領域(116)を形成するステップと、
    前記不純物ドープ領域から延びる隣接するコンタクトフィン(186)を形成するステップと、
    前記コンタクトフィン上に金属シリサイド層(126)を形成するステップと、
    前記コンタクトフィンの少なくとも1つに存在する前記金属シリサイド層の少なくとも一部に対するコンタクト(122)を形成するステップと、を含む方法。
  2. 隣接するコンタクトフィン(186)を形成する前記ステップは、
    前記半導体基板(106)の上を覆ってシリコン含有材料(170)をエピタキシャル成長させるステップと、
    前記エピタキシャル成長シリコン含有材料をエッチングして、前記半導体基板上に2つの隣接するコンタクトフィン(186)を形成するステップと、を有する請求項1に記載の方法。
  3. 前記エピタキシャル成長シリコン含有材料(170)をエッチングする前記ステップは、
    前記エピタキシャル成長シリコン含有材料上に第1の誘電材料層(172)を堆積させるステップと、
    前記第1の誘電材料層(174)をエッチングして、前記エピタキシャル成長シリコン含有材料上にピラー(174)を形成するステップと、
    前記エピタキシャル成長シリコン含有材料および前記ピラーの上を覆って、第2の誘電材料層(176)を堆積させるステップと、
    前記第2の誘電材料層をエッチングして、前記ピラーの周囲にスペーサ(178)を形成するステップと、
    前記ピラーを除去するステップと、
    前記スペーサをエッチングマスクとして使用して、前記エピタキシャル成長シリコン含有材料をエッチングするステップとを含む、請求項2に記載の方法。
  4. コンタクト(122)を形成する前記ステップは、前記コンタクトの幅(134)が十分に広く、前記コンタクトが、前記コンタクトフィンの少なくとも1つの少なくとも1つの側壁に存在する前記金属シリサイド層(126)と物理的に接触するように、前記コンタクトが、前記隣接するコンタクトフィン(186)に対して設けられるように、前記コンタクトを形成するステップを有する請求項1に記載の方法。
  5. コンタクト(122)を形成する前記ステップは、前記コンタクトと前記金属シリサイド層(126)間の界面(130)が、前記コンタクトと、前記不純物ドープ領域(116)の、前記コンタクトフィンを設けなかった場合に前記金属シリサイド層が存在する部分との間の界面(132)よりも広くなるように、前記コンタクトの幅(134)が十分に広く、前記コンタクトが、前記隣接するコンタクトフィン(186)に対して設けられるように、前記コンタクトを形成するステップを有する請求項1に記載の方法。
  6. MOS構造(200)の形成方法であって、
    半導体基板(106)を提供するステップと、
    前記半導体基板上にゲートスタック(146)を形成するステップと、
    前記ゲートスタックをマスクとして使用して、前記ゲートスタックの近くに設けた、第1の表面(208)を有する半導体材料(170,106)に不純物ドーパントを注入するステップと、
    前記半導体材料が前記トレンチ内にトレンチ表面(210)を有するように、前記半導体材料にトレンチ(206)をエッチングするステップと、
    前記半導体材料の前記第1の表面上と前記トレンチ表面上とに金属シリサイド層(126)を形成するステップと、
    前記第1の表面上の前記金属シリサイド層の少なくとも一部と、前記トレンチ表面上の前記金属シリサイド層の少なくとも一部とに対するコンタクト(122)を形成するステップとを含む方法。
  7. 不純物ドーパントを注入する前記ステップの前に、前記半導体基板(106)上にシリコン含有材料(170)をエピタキシャル成長させるステップを更に有し、前記半導体材料(170)は、前記エピタキシャル成長シリコン含有材料(170)を含み、前記半導体材料に不純物ドーパントを注入する前記ステップは、前記エピタキシャル成長シリコン含有材料に前記不純物ドーパントを注入するステップを含む、請求項6に記載の方法。
  8. 前記半導体材料(170,106)にトレンチ(206)をエッチングする前記ステップは、前記トレンチが、前記エピタキシャル成長シリコン含有材料内で止まるように、前記エピタキシャル成長シリコン含有材料(170)に前記トレンチをエッチングするステップを含む、請求項7に記載の方法。
  9. 前記半導体材料(170,106)にトレンチ(206)をエッチングする前記ステップは、前記エピタキシャル成長シリコン含有材料(170)および前記半導体基板(106)に前記トレンチをエッチングするステップを有する請求項7に記載の方法。
  10. コンタクト(122)を形成する前記ステップは、前記コンタクトの側壁(212)が、前記トレンチ表面(210)ではなく、前記第1の表面(208)上の前記金属シリサイド層(126)で止まるように前記コンタクトを形成するステップを含む、請求項6に記載の方法
JP2010521843A 2007-08-20 2008-07-18 低コンタクト抵抗を示すmos構造およびその形成方法 Pending JP2010537425A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/841,161 US7981749B2 (en) 2007-08-20 2007-08-20 MOS structures that exhibit lower contact resistance and methods for fabricating the same
PCT/US2008/008801 WO2009025706A1 (en) 2007-08-20 2008-07-18 Mos structures that exhibit lower contact resistance and methods for fabricating the same

Publications (1)

Publication Number Publication Date
JP2010537425A true JP2010537425A (ja) 2010-12-02

Family

ID=39760932

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010521843A Pending JP2010537425A (ja) 2007-08-20 2008-07-18 低コンタクト抵抗を示すmos構造およびその形成方法

Country Status (8)

Country Link
US (2) US7981749B2 (ja)
JP (1) JP2010537425A (ja)
KR (1) KR101443890B1 (ja)
CN (1) CN101836282B (ja)
DE (1) DE112008002270B4 (ja)
GB (1) GB2465127A (ja)
TW (1) TWI460794B (ja)
WO (1) WO2009025706A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015144176A (ja) * 2014-01-31 2015-08-06 国立研究開発法人物質・材料研究機構 薄膜トランジスタ、薄膜トランジスタの製造方法および半導体装置

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8404597B2 (en) * 2007-11-09 2013-03-26 Infineon Technologies Ag Device and method for stopping an etching process
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9220535B2 (en) 2010-10-26 2015-12-29 Christian Röbling Process for introducing a stabilizing element into a vertebral column
DE102010063772B4 (de) * 2010-12-21 2016-02-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Einbetten einer sigma-förmigen Halbleiterlegierung in Transistoren durch Anwenden einer gleichmäßigen Oxidschicht vor dem Ätzen der Aussparungen
US9343318B2 (en) 2012-02-07 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Salicide formation using a cap layer
US8697511B2 (en) * 2012-05-18 2014-04-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8877578B2 (en) 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
CN106463533B (zh) * 2014-06-20 2021-09-28 英特尔公司 高电压晶体管和低电压非平面晶体管的单片集成
US9379027B2 (en) * 2014-10-15 2016-06-28 Globalfoundries Inc. Method of utilizing trench silicide in a gate cross-couple construct
US9330983B1 (en) 2015-02-16 2016-05-03 International Business Machines Corporation CMOS NFET and PFET comparable spacer width
US11049939B2 (en) * 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US10256301B1 (en) * 2018-01-17 2019-04-09 International Business Machines Corporation Nanosheet isolated source/drain epitaxy by surface treatment and incubation delay
CN112397531A (zh) 2019-08-13 2021-02-23 联华电子股份有限公司 半导体元件及其制造方法
CN112951715B (zh) * 2019-12-10 2022-11-22 芯恩(青岛)集成电路有限公司 沟槽栅结构及沟槽型场效应晶体管结构的制备方法
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram
CN114121667B (zh) * 2021-11-10 2024-04-30 上海华力集成电路制造有限公司 半导体器件的制造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05315613A (ja) 1992-05-13 1993-11-26 Oki Electric Ind Co Ltd 半導体装置およびシリサイド層の形成方法
JPH07183486A (ja) 1993-12-24 1995-07-21 Toshiba Corp 半導体装置及びその製造方法
US6136698A (en) 1999-06-04 2000-10-24 United Integrated Circuits Corp Method of increasing contact area of a contact window
US20020048884A1 (en) 2000-02-22 2002-04-25 Quek Shyue Fong Vertical source/drain contact semiconductor
TW497120B (en) 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
KR100363097B1 (ko) 2001-01-06 2002-12-05 삼성전자 주식회사 기판과 컨택 패드간의 컨택 저항을 줄인 컨택 구조체 및그 형성방법
US6689688B2 (en) * 2002-06-25 2004-02-10 Advanced Micro Devices, Inc. Method and device using silicide contacts for semiconductor processing
KR100558037B1 (ko) 2003-01-13 2006-03-07 주식회사 하이닉스반도체 실리콘나노와이어를 이용한 반도체 소자의 콘택 형성 방법
JP2004260003A (ja) 2003-02-26 2004-09-16 Fujitsu Ltd 半導体装置及びその製造方法
DE102004005506B4 (de) * 2004-01-30 2009-11-19 Atmel Automotive Gmbh Verfahren zur Erzeugung von aktiven Halbleiterschichten verschiedener Dicke in einem SOI-Wafer
US7282766B2 (en) * 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
US7230286B2 (en) * 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
KR100640657B1 (ko) * 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7265059B2 (en) * 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8217497B2 (en) * 2007-01-17 2012-07-10 International Business Machines Corporation FIN differential MOS varactor diode
US7811889B2 (en) * 2007-08-08 2010-10-12 Freescale Semiconductor, Inc. FinFET memory cell having a floating gate and method therefor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015144176A (ja) * 2014-01-31 2015-08-06 国立研究開発法人物質・材料研究機構 薄膜トランジスタ、薄膜トランジスタの製造方法および半導体装置

Also Published As

Publication number Publication date
TW201009955A (en) 2010-03-01
US7981749B2 (en) 2011-07-19
CN101836282A (zh) 2010-09-15
DE112008002270T5 (de) 2010-07-22
WO2009025706A1 (en) 2009-02-26
GB2465127A (en) 2010-05-12
US8283233B2 (en) 2012-10-09
US20110233627A1 (en) 2011-09-29
DE112008002270B4 (de) 2016-11-03
KR20100059882A (ko) 2010-06-04
CN101836282B (zh) 2012-03-21
GB201003881D0 (en) 2010-04-21
US20090050984A1 (en) 2009-02-26
TWI460794B (zh) 2014-11-11
KR101443890B1 (ko) 2014-09-24

Similar Documents

Publication Publication Date Title
KR101443890B1 (ko) 낮은 콘택 저항을 나타내는 mos 구조 및 이를 제조하는 방법
US6780694B2 (en) MOS transistor
KR102115127B1 (ko) 반도체 장치
TWI485848B (zh) 半導體裝置及其製造方法
US7915130B2 (en) Method of manufacturing a semiconductor device
JP2004152790A (ja) 半導体装置、及び、半導体装置の製造方法
US7670932B2 (en) MOS structures with contact projections for lower contact resistance and methods for fabricating the same
US11935754B2 (en) Transistor gate structure and method of forming
TWI801923B (zh) 半導體元件及其製造方法
US11916124B2 (en) Transistor gates and methods of forming
US20220319930A1 (en) Ion Implantation For Nano-FET
TWI821740B (zh) 奈米片的氟摻入方法
TW202335062A (zh) 金屬閘極鰭片電極結構及其形成方法
JP2006066757A (ja) 半導体装置