JP2007123923A - 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法 - Google Patents

触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法 Download PDF

Info

Publication number
JP2007123923A
JP2007123923A JP2006336416A JP2006336416A JP2007123923A JP 2007123923 A JP2007123923 A JP 2007123923A JP 2006336416 A JP2006336416 A JP 2006336416A JP 2006336416 A JP2006336416 A JP 2006336416A JP 2007123923 A JP2007123923 A JP 2007123923A
Authority
JP
Japan
Prior art keywords
forming
copper
wiring conductor
conductor according
catalyst
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006336416A
Other languages
English (en)
Other versions
JP4792379B2 (ja
Inventor
Won-Yong Koh
ウォン ヨン コ
Hyeong Sang Park
ヒョン サン パク
Ji Hwa Lee
ジ ファ イ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genitech Co Ltd
Original Assignee
Genitech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1019990059862A external-priority patent/KR20010063028A/ko
Priority claimed from KR1019990061129A external-priority patent/KR20010057734A/ko
Priority claimed from KR1020000001232A external-priority patent/KR20010066720A/ko
Application filed by Genitech Co Ltd filed Critical Genitech Co Ltd
Publication of JP2007123923A publication Critical patent/JP2007123923A/ja
Application granted granted Critical
Publication of JP4792379B2 publication Critical patent/JP4792379B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01057Lanthanum [La]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Abstract

【課題】触媒を使用した銅CVD方法として、ピンチ−オフやボイドの発生なしにトレンチ、ビアホール及びコンタクトを充填して銅配線導電体を形成する方法を提供すること。
【解決手段】能動及び受動素子を配線連結するために、銅配線導電体を形成する方法が開示される。ここに開示された発明は、触媒と共に銅を配線導電体物質の原料とするCVD工程を含む。トレンチ、ビアホール、コンタクト、広い受動素子だけでなく電力素子と電力線のための大きいトレンチとホールを充填する配線方法がここに開示されて提供される。ここに提示された他の方法は、狭くて深いトレンチと小径で深いホールのような小さな陥没部を触媒を使用した銅CVDで充填する方法であり、湿式または乾式エッチバックまたは高温プラズマエッチバック工程により後続工程段階に備えて除去されるように扁平な最上部の表面に非常に薄い薄膜を形成する方法である。
【選択図】図1d

Description

本発明は半導体技術領域のサブミクロン級でトレンチ、ビアホール、コンタクト及び薄膜のような銅系配線を触媒を使用した化学気相蒸着(CVD)によって形成する方法に関する。
信号及び電力線を提供するだけでなく能動及び受動素子を配線連結することは半導体関連製品生産工程で重要な役割を担当する。
最も広く使われる方法は蒸着、エッチング等によってアルミニウム系金属を使用する方法である。アルミニウム合金は製造及び入手が比較的容易で安いからである。しかし、アルミニウム合金は熱的応力及び高い電気的応力に弱く、主に粒子サイズに起因するエレクトロマイグレーション問題として知られた破損を招く。
それだけでなく、素子のデザインルールが厳しくなるにつれ、アルミニウム合金の粒子サイズは前述したエレクトロマイグレーション関連問題のためにより注目されている。アルミニウム合金は一般に良好な導電体と知られており、RC時間遅延のような電気的性能要求条件を含む配線要求条件を満足する。
最近に回路密度が急激に増加するにつれて、エレクトロマイグレーション問題が少なく、配線導電体の強い物理的特性だけでなく短時間遅延、より優れた電気伝導度観点で高性能素子がさらに望ましくなった。結果的に、より優れた伝導性物質、特に銅物質でアルミニウム合金に代えようとする研究が活発になっている。
銅は高い電気伝導度を有する長所があるが、その中でも、銅はエレクトロマイグレーション問題は少ないのに対してより多くの電流を運搬でき、アルミニウムに比べて堅い。一方、アルミニウムより堅いために“蒸着後−エッチング過程”が直ちに適用されるアルミニウム合金のエッチングより難しい。したがって配線導電体を形成するために、例えばダマシン工程が使われる。ここではトレンチが絶縁膜上にエッチングで形成された後、銅で充填される。その上、一つが他のものの上部に位置している、相異なる二層内の二つの導電体を連結するためにビアホールまたはコンタクトホールが利用される。このような場合、二重ダマシン工程が使われうるが、ここでは一つが他のものの上部に位置している、隣接した二層にビアホールまたはコンタクトホールとトレンチを形成する二段階工程後に一回の工程で前記ビアホールまたはコンタクトホールとトレンチを銅で充填する。
トレンチとホールとを充填する公知の技術としては電気メッキ(electroplating:EP)方法がある。しかし、この工程は従来の半導体製造工程とあまり適していない。また、この工程は多少複雑であって量産環境で高収率を達成するためにはさらに開発される必要がある。従来の半導体素子の製造工程に符合するために、化学気相蒸着(CVD)またはスパッタリングのような物理的気相蒸着(PVD)の使用が望ましい。トレンチ及びホールを使用して配線導電体を形成するためにスパッタリング技術を使用する時、深いトレンチまたは深いホールの上端開口部の近くでピンチ−オフ現象が発生する。換言すれば、トレンチやホールの残りの部分が充填される前に開口部の上端が閉塞されてしまう。これはPVD工程中に一般に発生する、“目視線”に沿う“直進”蒸着という特異な性質のためである。これがサブミクロン級半導体素子の製造にスパッタリングが適していない理由である。
一方、CVD方法の使用は薄膜を核形成し、これを成長可能にすると知られている。CVD方法は段差被覆性にも優れると知られていて、蒸着された膜または薄膜は角だけでなく扁平な部分(最上部と底部の扁平な部分)と側壁もよく被覆する。しかし、基板温度が約200℃で銅物質がCVDで蒸着される時に膜成長速度が50nm/分以下に落ちるが、これは低速と見なされるだけでなく薄膜の核形成後に成長開始時に島のように成長するために膜表面の凸凹が生じると報告された。
CVD方法が薄膜形成に利用される時に薄膜のかなり低い成長速度と薄膜表面の凸凹という前述した問題を解決するために、表面上に触媒を利用する化学的蒸着方法がLeeによる米国出願第09/554,444号に提案された。ここで提案された化学気相蒸着法はハロゲン元素族に属するヨードまたはブロムを触媒としてCVDと共に使用することを提案している。この方法は銅膜の成長速度をだいぶ増加させるだけでなく結果物である膜表面の凸凹を減少させる。
本発明は後述するように、触媒を使用したCVDでトレンチ、ビアホール、コンタクト及び薄膜のような銅配線導電体を形成する方法を提案する。
触媒を使用した銅CVD方法として、ピンチ−オフやボイドの発生なしにトレンチ、ビアホール及びコンタクトを充填して銅配線導電体を形成する方法が開示されて提供される。
本発明の第1態様では、ヨードまたはブロムを触媒として銅CVD方法と共に使用して、願わないピンチ−オフやボイドの発生なしにトレンチ、ビアホール及びコンタクトを充填する方法が開示されて提供される。この方法はトレンチとホールの底部をピンチ−オフやボイドの発生なしに非常に速く充填し、トレンチやホールが底部から上部に充填されるにつれて蒸着速度が減少して、最上面で銅が最低速度で蒸着される。PVD方法のような従来の方法とは異なり、触媒を使用した銅CVDはトレンチとホールの上端開口部でピンチ−オフを起こさない。
本発明の第2態様では、狭くて深いトレンチと小径で深いホール中に本発明の第1態様で説明した方法を反復的に適用して銅層を形成する方法が開示されて提供される。この方法はトレンチとホール深さだけの銅物質を許して、深いトレンチとホールが銅で充填される。一方、スパッタリング技術のような従来の方法はこのような深い浸透を許さない。
本発明の第3態様では、最上面に非常に薄い銅層を形成する方法が開示されて提供される。これにより、薄い銅層は次の工程段階に備えてエッチバック手段で除去できるが、このような除去は普通高コストのCMP方法で行われる。通常、一般の電気メッキ技術も次の工程段階に備えて最上面に形成された銅を除去するのにCMPを必要とする。
本発明の実施例、長所と共に本発明は、添付した図面と共に後述される実施例の詳細な説明を参照すれば最もよく理解される。
本発明によれば、回路の信号及び電力線、シリコンウェーハ上の素子と他の要素との間だけでなく能動及び受動要素を配線連結するために、触媒を使用した銅CVD工程で銅配線導電体を形成する方法が開示されて提供される。より詳細には、配線のためのトレンチ、ビアホール及びコンタクトを充填する方法が開示されて提供される。本発明の他の態様によれば、広い受動要素だけでなく電力素子と電力線のホールと広いトレンチとを充填する方法がここに開示されて提供される。本発明のまた他の態様によれば、電気メッキのような従来の方法を使用できない狭くて深いトレンチと小径で深いホールのような小さな陥没部を触媒を使用した銅CVDで充填する方法も開示される。この方法は後に経済的な理由で陥没部の残りを電気メッキするためのシード層を蒸着する手段としても使われうる。本発明の他の目的の態様は、銅配線導電体の形成に付加して、ウェーハ表面の扁平な最上部に非常に薄い膜層を形成する方法を提供する。それにより、扁平な最上部の薄膜は次の工程段階に備えて湿式または乾燥式エッチバックまたは高温プラズマエッチバック工程により除去できる。これにより、非常に高いCMP方法を使用する必要がなくなる。
本発明によれば、触媒を使用した銅CVDの使用は底部から上部への銅の蒸着を可能にし、窮極的には、例えばトレンチの上端開口部にピンチ−オフやトレンチ内部にボイドが生じる問題なしにトレンチが充填される。さらに、本発明によれば、トレンチの底部で蒸着速度が速くて、二つのトレンチ間の扁平な最上部とトレンチの側壁で遅いために、触媒を利用する銅CVDはトレンチ、ビアホール及びコンタクトを銅で充填する理想的な工程である。特に、扁平な最上部での蒸着速度が最も遅いが、他の従来の方法に比べて銅の薄膜を蒸着する速度が速いために触媒を使用した銅CVDは扁平な表面に薄い銅層を蒸着する優れた方法である。しかし、膜厚さが厚くなるにつれて蒸着速度は急激に減少する。より厚い膜を形成するために、“触媒を加えてから銅を蒸着する”サイクルを反復的に行う必要があり、これはここに開示された本発明の一態様である。
図1cを参照すれば、“底部を先に充填してから速く蒸着する”現象後の推論は2つである。まず、図1cで触媒は良い表面活性剤として作用し、銅原子は銅シード層の表面上で周辺を非常に速くまわる。シード層上に銅層が積もるにつれて、銅膜は成長する表面積がトレンチまたはホール中の制限された空間で最小化される方式で成長する。他の推論は、銅膜が成長するにつれて表面積は減少し、これにより触媒の“密度”が増加する。これは銅成長速度を増加させ、蒸着速度はトレンチやホールの外側より速くなる。成長速度はトレンチまたはホールの底部で一般に最も速いが、これは表面積の減少速度がトレンチまたはホールの底部で一般に最も速いからである。同じ理由で、トレンチまたはホール底部の角部が先に充填される。ここで、後述する詳細な説明から分かるが、望ましい触媒はハロゲン元素族、より詳細にはヨードとブロムである。
本発明による触媒を使用した銅CVDを簡略に説明すれば次の通りである。図1aを参照すれば、トレンチ120が基板100上部の絶縁膜110中に形成される。図1bで、導電性物質が絶縁膜110及び基板100に浸透することを防止するバリヤー膜130が基板の最上部に先に形成される。次に、銅シード層140がバリヤー膜130の上部に形成される。次の段階は図1cに示されたように触媒150を加えることである。最後に、図1dに示されたように銅CVDによって銅物質が蒸着される。
図1eは、銅で充填されたビアホール列の断面を示すSEM写真である。図1eはトレンチ中の銅層に比べて最上面の銅層が薄いことを示す。図1fは銅で充填されたビアホールアレイの上面を傾いた角度で撮ったSEM写真である。図1gは銅が部分的に充填されたビアホールの断面を示すSEM写真であって、銅がビアホールの底部から上部に充填されることを示す。図1hは銅が部分的に充填されたトレンチの断面を示すSEM写真であって、銅がトレンチの底部から上部に充填されることを示す。
配線導電体を形成するために本発明により触媒を使用した銅CVDを使用すれば次のような長所がある。まず、本発明による銅充填方法は多層の配線導電体を形成するのに非常に適している。なぜなら前述した方法がトレンチ、ビアホール及びコンタクトをピンチ−オフやボイドなしに充填するからである。第2に、前述した銅充填方法はトレンチ、ビアホール及びコンタクトを充填し、扁平な表面には銅層を徐々に形成して高い銅原料を少なく消耗し、後続工程のためにCMPで除去されねばならない物質を減らす長所がある。第3に、触媒を使用したCVDで前述したCMP工程時間がはるかに短くなる。最上面の銅膜の厚さが非常に薄いからである。これにより、前述した銅充填方法は電気メッキのような従来の方法に比べて経済的である。
本発明は後述する詳細な例示的で望ましい実施例を参照してよく理解できる。半導体素子を製造するにおいて、配線導電体を形成するために触媒を使用した銅CVDで配線導電体を形成するいくつかの例示的な方法が本発明によって開示されて提供される。当業者であれば本発明の範囲を外れずに配線導電体を形成する他の手段を容易に考えうる。したがって本発明による方法の範ちゅうはここに提供される望ましい実施例に限定されない。
<第1実施例>
触媒を使用した銅CVD方法でトレンチ、ビアホール及びコンタクトのような配線導電体を形成する方法が後述される。
最初の段階はサンプルを備えることである。図1aはシリコン基板100または絶縁膜110中のホール120をエッチングしたダマシン構造を示す。これは典型的なダマシン構造である。ホール120の最上端開口部の大きさはその幅が500nmであり、縦横比は2:1である(したがって、ホール120の深さは1,000nmである)。図1bを参照すれば、ホール120を含む全面にタンタル窒化膜TaN 130がスパッタリングで被覆される。このタンタル窒化膜は導電性物質140を蒸着する間に絶縁膜110に浸透することを防止するバリヤー膜である。そうしなければ、このような浸透は半導体−絶縁体関係の基本的な保全性を変更することによって、配線導電体を形成する目的を挫折させる。バリヤー膜として適した他の物質はTa、Ti、TiN、Ta−Si−N及びTi−Si−Nである。いくつかの絶縁膜は銅の浸透を阻止する性質があって、このような絶縁物質は銅に対するバリヤー膜として作用すると知られている。図1bを参照すれば、バリヤー膜130の上部に銅シード層140がスパッタリングで形成される。シード層の厚さは絶縁膜110の上部で30nmと測定され、ホールの内壁では約3nmと測定された。最も望ましいモード数値は絶縁膜110の上部で測定された約30nmである。
図1cを参照すれば、5Torr真空で特殊制作した反応チャバを利用して前述したように備えられたサンプルを触媒150のヨード化エチルで処理する。後続的に、図1dに示したように、ホールが銅160で充填されるように、シリコン基板サンプルを銅原料物質(hfac)Cu(vtms)で3分間180℃、5Torr全体圧力のうち銅原料物質(hfac)Cu(vtms)分圧0.7Torr下でアルゴン運搬ガスと共に処理する。ここで、リガンド(hfac)はhexaフルオロアセチルアセトネートを意味し、リガンド(vtms)はビニールトリメチルシランを意味する。これにより図1eないし図1hのSEM写真を得る。
図1eはピンチ−オフとボイドなしに均一に充填されたホールの断面を示す。図1fは完全に充填されたホールの上面を示す。図1gは充填段階初期に得たSEM写真であって、ホールの底部から上部に充填されることを示す。図1hもトレンチの底部から上部に銅が充填されることを示すSEM写真である。
図1g及び図1hで分かるように、銅層はトレンチとホールの底部で速く成長し、トレンチ及びホールの最上部と内部でボイドピンチ−オフなしに上部側に成長する。銅層がトレンチ及びホール外側で100nmだけ成長しても、トレンチ及びホールの上部と内部にピンチ−オフやボイドが発生しないことを確認した。
<第2実施例>
シリコン基板に埋め込まれた配線導電体は多様な形と大きさを有する。電力及び信号適用のための配線導電体は一般に大きい。しかし、前記の第1実施例で説明したような本発明による触媒を使用した銅CVDに基づいた銅充填方法は、入力/出力(I/O)信号及び電力線のためのパッドのように非常に小さな縦横比の広い面積を充填するには適していない。
アルミニウム合金のような他の“柔らかい”金属とは異なって銅をエッチングすることが難しいために、本発明による銅蒸着方法と共にダマシン構造が使われねばならず、本発明による銅充填方法を使用するためには小さな縦横比を有する大きいトレンチが形成されねばならない。
図1iを参照すれば、絶縁膜190中に大きいトレンチ192が形成されている。しかし、本発明による銅充填方法はこのような過度に大きいトレンチの充填に適していない。図1jに示したパターンは本発明による銅充填方法で伝導性“パッド”を形成するために、前記の第1実施例で説明された銅充填方法を活用する例である。一方、連続的な導電層を形成するのにいくつかの狭いトレンチが必要である。前記の全体トレンチ領域を充填しすぎれば良好な導電性“パッド”が提供される。図1jを参照すれば、全体トレンチ領域190中に多くの柱194と壁196がある。これにより多くの狭いトレンチ198が提供される。このような構造は信号I/O端子及び電力線のための伝導性“パッド”を形成するために、前記第1実施例で説明された本発明による銅充填方法を活用するより適当である。
<第3実施例>
第1実施例で既に説明したように、図2aを参照すれば、最上面だけでなくトレンチ220内部にバリヤー膜230とシード層240を有するシリコン基板サンプルが備えられる。一般に、スパッタリング方法がシード層240だけでなくバリヤー膜230を形成するのに利用される。しかし、トレンチ及びビアホールの上端開口部が狭い場合にはTaNまたはTiNを使用してバリヤー膜230を形成するのにCVD方法が利用されることがあり、またシード層240を形成するのに触媒としてヨード化エチルを使用する銅CVD方法も利用されうる。銅原料物質(hfac)Cu(vtms)は第1実施例と同様にここに再び使われる。
触媒ヨード化エチルは、図1cに示したように触媒を使用した銅CVDによって追加的な銅層260を形成する時にも加わりうるが、2次銅層260は電気メッキするための電極として使われるのに十分な厚さ(100nm以下)で形成する。電気メッキで完全に充填された銅層270が図2bに示される。
この例示的な実施例は多少広いトレンチ、ビアホール及びコンタクトを充填する経済的な方法である。触媒を使用した銅CVDに使われる銅原料物質が電気メッキに使われる銅原料物質よりはるかに高いからである。
<第4実施例>
一般に、二重ダマシン構造に電気メッキを使用することは難しいが、これは図3aでスタックされたトレンチ324で表現されたようにビアホールとスタックされたトレンチが深いからである。本発明による触媒を使用した銅充填方法はこのような二重ダマシン構造の状況でより適した方法である。
図3aを参照すれば、導電層342が基板300上面の第1絶縁膜310上にパターニングされている。導電体パターン342を有する第1絶縁膜310を通常の方法で平坦化した後、第2絶縁膜312が第1絶縁膜310上に蒸着され、コンタクトホール322が第2絶縁膜312内にエッチングされる。第3絶縁膜314を形成した後、トレンチ324がエッチングされる。結果的に、トレンチ324とコンタクトホール322とを含む二重ダマシン構造が形成される。次に、図3bを参照すれば、後続工程中に伝導性物質が絶縁膜に浸透することを防止するバリヤー膜302を全面に被覆した後、トレンチ324とコンタクトホール322とを充填するために銅シード層344がヨード化エチルを触媒として使用する銅CVD方法で形成される。シード層344の成長周期中にコンタクトホール322は図3bに示したように銅で充填される。一般に、ホールはトレンチより非常に速く銅で充填されるために、触媒を使用した銅CVD方法でホールは既に充填されたが、まだ充填が終わってないトレンチを充填するのには電気メッキ技術が利用されうる。後続的に、トレンチ324は図3cのように銅層352を電気メッキすることによって充填される。銅シード層344は銅シード層344が電気メッキの電極として使われうるほど厚くせねばならない(望ましくは100nm以下)。
本発明によれば、ピンチ−オフとボイド発生なしにトレンチ、ビアホール及びコンタクトを銅で充填する。
<第5実施例>
回路密度が増加するにつれてデザインルールは益々厳しくなり、トレンチとホールの上端開口部は益々狭くなって小さくなる。このような場合に、触媒は底部に深く浸透できなくて従来のスパッタリング方法は銅シード層を形成するのに適していない。したがって“触媒を加えてから銅を蒸着する”サイクルを反復的に行う必要がある。本実施例ではこのような場合の解決法を提示する。
図4を参照すれば、第1実施例で説明されたように、シリコン基板410上の絶縁膜420中にトレンチまたはホールを形成した後、TiNまたはTaNを使用してバリヤー膜430を形成する。銅シード層440を形成するために、銅シード層の厚さが70nmを超えない(扁平な上面で測定した時)まで“触媒を加えてから銅を蒸着する”サイクルを少なくとも2回連続して反復的に行う。後続的に、前述した方法で形成された銅シード層が2次銅層450を形成するための電気メッキの電極として使われうる。
本発明によれば、ピンチ−オフとボイドのない銅層450を、第1銅シード層440を電極として使用する電気メッキ方法で製造する。
最後に、図4の導電体の扁平な上面を、後続工程で銅配線導電体を形成する段階を行うために必ず除去せねばならない。
<第6実施例>
本発明によれば、触媒を使用した銅CVDで配線導電体を形成する方法は既に言及したように多くの長所を有する。まず、銅層成長速度はトレンチ、ビアホール及びコンタクトのように狭くて深い構造の底部で最も速い。順次に銅層が成長するにつれて成長速度は急激に減少し、一般に上面で最も遅くなる。結果的に、例えば図5aを参照すれば、トレンチ520は銅で速く充填されるが、上面には銅層554が一般に遅く成長して薄い銅層554だけ形成される。後続の段階で、良好な配線導電体を形成するために、導電層530、540、554は導電体の次の層が積もるように除去されねばならない。
図5bは、本発明によって図5aの上部導電層530、540、554を従来のCMP方法で除去して平坦化する方法を示す。
<第7実施例>
これは本発明によって図5aの上部導電層530、540、554をCMP方法で除去して平坦化する他の例示的方法である。図5cを参照すれば、化学エッチング剤580が銅層554の全面に加わって、図5dに示したように導電層のない扁平な表面が形成される。これは本発明により図5aの上面導電層530、540、554が非常に薄いために可能である。
<第8実施例>
これは本発明によって図5aの上面導電層530、540、554を高温プラズマエッチングで除去して平坦化するさらに他の例示的方法である。図5eを参照すれば、高温プラズマエッチング582が図5aの上面導電層530、540、554を除去するために全面に加えられる。その結果、図5fの平坦な表面を得られる。これは本発明によって図5aの上面の導電層530、540、554が非常に薄いために可能である。
<第9実施例>
これは本発明によって図5aの上面の導電層530、540、554を選択的エッチングで除去して平坦化するさらに他の例示的方法である。図5gを参照すれば、フォトレジストバリヤー膜584が必要に応じて形成される。次に残っている部分がエッチングされて、表面に導電体が残っていない扁平な上面だけでなく“突出した”導電体570dが形成される。これは本発明によって図5aの上面導電層530、540、554が非常に薄いために可能である。この“突出した”導電体570dは、例えばI/O端子のパッドとして使われうる。
以上、本発明を望ましい実施例をあげて詳細に説明した。当業者であれば、本発明の範囲を外れずに配線導電体を形成する他の手段を容易に考えられる。したがって、本発明による方法の範ちゅうはここに提供される望ましい実施例に限定されない。
配線導電体を形成するために本発明によって触媒を使用した銅CVDを使用することは次のような長所がある。まず、本発明による銅充填方法は多層の配線導電体を形成するのに適している。なぜなら前記の方法がトレンチ、ビアホール及びコンタクトをピンチ−オフやボイドなしに充填するからである。第2に、前述した銅充填方法はトレンチ、ビアホール及びコンタクトを速く充填し、扁平な表面には銅層を徐々に形成して高い銅原料を少なく消耗し、後続工程のためにCMPで除去されねばならない物質を減らす長所がある。第3に、触媒を使用したCVDで前述したCMP工程時間がはるかに短くなる。最上面の銅膜の厚さが非常に薄いからである。これにより、前述した銅充填方法は電気メッキのような従来の方法に比べて非常に経済的である。
銅物質で充填されたトレンチを形成する段階を示す一連の断面図である。 銅物質で充填されたトレンチを形成する段階を示す一連の断面図である。 銅物質で充填されたトレンチを形成する段階を示す一連の断面図である。 銅物質で充填されたトレンチを形成する段階を示す一連の断面図である。 銅で充填されたビアホール列の断面を示す走査電子顕微鏡(SEM)写真である。 銅で充填されたビアホールアレイの上面を傾いた角度で撮ったSEM写真である。 銅が部分的に充填されたビアホールの断面を示すSEM写真であって、銅がビアホールの底部から上部に充填されることを示す写真である。 銅が部分的に充填されたトレンチの断面を示すSEM写真であって、銅がトレンチの底部から上部に充填されることを示す写真である。 銅充填のために備えられた広いエッチング領域を示す図面である。 銅充填の向上のための壁と柱の例示パターンよりなる広いエッチング領域を示す図面である。 トレンチとビアホールを完全に充填するための従来の電気メッキのために十分に厚い2次銅層を示す例示断面図である。 銅で完全に充填されたトレンチを示す例示断面図である。 二重ダマシントレンチ−ビアホール構造のための一連の銅充填工程である。 二重ダマシントレンチ−ビアホール構造のための一連の銅充填工程である。 二重ダマシントレンチ−ビアホール構造のための一連の銅充填工程である。 銅で薄膜を形成することを示す断面図である。 銅で薄膜を形成することを示す断面図である。 銅で薄膜を形成することを示す断面図である。 銅で薄膜を形成することを示す断面図である。 前記の図1dと類似であるが、銅がやや過度充填されたトレンチの断面図である。 トレンチ内部に配線導電体を形成するためにCMP方法で図5aの表面層を除去した結果を示す断面図である。 上部の化学エッチング剤を示す図5aの断面図である。 トレンチ配線導電体を形成するために上部層がエッチングされた後の図5cの断面図である。 プラズマエッチング工程を示す図5aの断面図である。 トレンチ内部に配線導電体を形成するために上部銅層をプラズマエッチングした後の図5eの断面図である。 上部のフォトレジスト層を示す図5aの断面図である。 上部銅層とエッチングにより選択的に除去されたフォトレジストを含む図5gの断面図である。

Claims (30)

  1. シリコン基板の絶縁膜に陥没部を形成する段階と、
    全面にシード層を形成する段階と、
    前記全面に触媒を加える段階と、
    前記全面にCVD方法で銅物質を蒸着する段階とを順に含む配線導電体の形成方法。
  2. 前記シード層を形成する前にシリコン基板の全面にバリヤー膜を蒸着する請求項1に記載の配線導電体の形成方法。
  3. 前記バリヤー膜はTaNまたはTiN、または両方ともで形成する請求項2に記載の配線導電体の形成方法。
  4. 前記バリヤー膜はTa、Ti、Ta−Si−N及びTi−Si−Nまたはこれらの任意の組合わせを利用して形成する請求項2に記載の配線導電体の形成方法。
  5. 前記陥没部は互いに連結されるようにシリコン基板の絶縁膜内にトレンチ、ホール、空洞、コンタクトとこれらの組合わせとを含む請求項1に記載の配線導電体の形成方法。
  6. 前記陥没部は単一または二重ダマシンまたはこれらの組合わせを含む請求項1に記載の配線導電体の形成方法。
  7. 前記触媒はヨードまたはブロム、または両方ともである請求項1に記載の配線導電体の形成方法。
  8. 前記触媒はハロゲン元素族の元素またはこれらの任意の組合わせである請求項1に記載の配線導電体の形成方法。
  9. CVDに使われる銅物質は(hfac)Cu(vtms)である請求項1に記載の配線導電体の形成方法。
  10. 銅を蒸着するためのCVDチャンバの温度は50℃より高く、250℃より低い請求項9に記載の配線導電体の形成方法。
  11. 前記銅シード層の厚さは100nmを超えない請求項1に記載の配線導電体の形成方法。
  12. 銅を蒸着するためのCVDチャンバの作動圧力は10Torr未満である請求項1に記載の配線導電体の形成方法。
  13. シリコン基板の絶縁膜に単一ダマシン、二重ダマシンまたはこれらの組合わせを形成する段階と、
    全面にシード層を形成する段階と、
    二重ダマシン構造を構成するためにバリヤー膜と銅シード層とを形成する段階を反復する段階と、
    前記銅シード層は触媒を使用した銅CVD方法で形成する段階と、
    残っている伝導性銅層を前記銅シード層を電極として使用する電気メッキ技術で形成する段階とを順に含む配線導電体の形成方法。
  14. 前記シード層を形成する前にシリコン基板の全面にバリヤー膜を蒸着する請求項13に記載の配線導電体の形成方法。
  15. 前記バリヤー膜を形成するのに使われる物質はTa、Ti、TaN、TiN、Ta−Si−N及びTi−Si−Nである請求項14に記載の配線導電体の形成方法。
  16. 前記触媒はヨードまたはブロムまたは両方とも、またはハロゲン元素族の任意の元素を含む請求項13に記載の配線導電体の形成方法。
  17. 銅CVDを使用して前記銅シード層を形成するための銅物質は(hfac)Cu(vtms)である請求項13に記載の配線導電体の形成方法。
  18. 前記銅シード層はスパッタリングで形成し、前記残っている伝導性銅層は前記バリヤー膜及び前記銅シード層を形成した後、触媒を使用した銅CVDで形成する請求項13に記載の配線導電体の形成方法。
  19. 前記触媒はヨードまたはブロムまたは両方とも、またはハロゲン元素族の任意の元素を含む請求項18に記載の配線導電体の形成方法。
  20. 前記バリヤー膜を形成するのに使われる物質はTa、Ti、TaN、TiN、Ta−Si−N及びTi−Si−Nである請求項18に記載の配線導電体の形成方法。
  21. 触媒を利用する銅CVDを使用して前記残っている伝導性銅層を形成するための銅物質は(hfac)Cu(vtms)である請求項18に記載の配線導電体の形成方法。
  22. 互いに連結されるようにシリコン基板の絶縁膜内にトレンチ、ホール、空洞、コンタクトとこれらの組合わせのような陥没部を形成する段階と、
    全面にシード層を形成する段階と、
    前記全面に触媒を加える段階と、
    トレンチ、ホール、空洞、コンタクトなどを充填するために銅CVD方法で前記全面に銅物質を蒸着する段階と、
    前記表面の扁平な部分に薄い銅層が形成されるまで銅を蒸着する段階と、
    後続の工程段階で前記薄い銅層を除去する段階とを順に含む配線導電体の形成方法。
  23. 前記シード層を形成する前にシリコン基板の全面にバリヤー膜を蒸着する請求項22に記載の配線導電体の形成方法。
  24. 前記バリヤー膜を形成するのに使われる物質はTa、Ti、TaN、TiN、Ta−Si−N及びTi−Si−Nである請求項23に記載の配線導電体の形成方法。
  25. 前記触媒はヨードまたはブロムまたは両方とも、またはハロゲン元素族の任意の元素を含む請求項22に記載の配線導電体の形成方法。
  26. 銅CVDを使用して前記銅シード層を形成するための銅物質は(hfac)Cu(vtms)である請求項22に記載の配線導電体の形成方法。
  27. 前記薄い銅層を除去する段階はCMP方法で行う請求項22に記載の配線導電体の形成方法。
  28. 前記薄い銅層を除去する段階は湿式化学的エッチング方法で行う請求項22に記載の配線導電体の形成方法。
  29. 前記薄い銅層を除去する段階は高温プラズマ−エッチング方法で行う請求項22に記載の配線導電体の形成方法。
  30. 前記薄い銅層を除去する段階は、パッドとして使用するためのトレンチ、ホール、空洞、コンタクトなどの陥没部の上部を保存するためのフォトレジストパターンを使用して選択的湿式エッチングで行う請求項22に記載の配線導電体の形成方法。
JP2006336416A 1999-12-15 2006-12-13 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法 Expired - Lifetime JP4792379B2 (ja)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
KR1999/57939 1999-12-15
KR19990057939 1999-12-15
KR1019990059862A KR20010063028A (ko) 1999-12-21 1999-12-21 구리배선 형성방법
KR1999/59862 1999-12-21
KR1019990061129A KR20010057734A (ko) 1999-12-23 1999-12-23 구리막 형성방법
KR1999/61129 1999-12-23
KR2000/1232 2000-01-11
KR1020000001232A KR20010066720A (ko) 1999-12-15 2000-01-11 구리배선 형성방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001545352A Division JP3925780B2 (ja) 1999-12-15 2000-12-15 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法

Publications (2)

Publication Number Publication Date
JP2007123923A true JP2007123923A (ja) 2007-05-17
JP4792379B2 JP4792379B2 (ja) 2011-10-12

Family

ID=27483406

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2001545352A Expired - Lifetime JP3925780B2 (ja) 1999-12-15 2000-12-15 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法
JP2006336416A Expired - Lifetime JP4792379B2 (ja) 1999-12-15 2006-12-13 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法
JP2006336417A Pending JP2007123924A (ja) 1999-12-15 2006-12-13 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2001545352A Expired - Lifetime JP3925780B2 (ja) 1999-12-15 2000-12-15 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006336417A Pending JP2007123924A (ja) 1999-12-15 2006-12-13 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法

Country Status (6)

Country Link
US (1) US6720262B2 (ja)
EP (1) EP1247292B1 (ja)
JP (3) JP3925780B2 (ja)
KR (1) KR100465982B1 (ja)
DE (1) DE60041522D1 (ja)
WO (1) WO2001045149A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7618739B2 (en) 2007-04-11 2009-11-17 Eveready Battery Co., Inc. Battery and fluid regulating system having chassis with molded electronics
US7632585B2 (en) 2007-04-11 2009-12-15 Eveready Battery Co., Inc. Battery having fluid regulator with pressure equalization
JP2012169590A (ja) * 2011-01-27 2012-09-06 Tokyo Electron Ltd Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
JP2012216765A (ja) * 2011-01-27 2012-11-08 Tokyo Electron Ltd Cu配線の形成方法および成膜システム

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001078123A1 (en) * 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100407678B1 (ko) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 구리 금속배선 형성 방법
KR100383759B1 (ko) * 2000-06-15 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
KR100407679B1 (ko) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성방법
KR100671610B1 (ko) * 2000-10-26 2007-01-18 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP3643807B2 (ja) * 2001-11-14 2005-04-27 三菱重工業株式会社 エッチング方法及びエッチング装置
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7084509B2 (en) 2002-10-03 2006-08-01 International Business Machines Corporation Electronic package with filled blinds vias
KR100487639B1 (ko) * 2002-12-11 2005-05-03 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법
US20040248403A1 (en) * 2003-06-09 2004-12-09 Dubin Valery M. Method for forming electroless metal low resistivity interconnects
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7999330B2 (en) * 2005-06-24 2011-08-16 Micron Technology, Inc. Dynamic random access memory device and electronic systems
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7365011B2 (en) * 2005-11-07 2008-04-29 Intel Corporation Catalytic nucleation monolayer for metal seed layers
JP2009521801A (ja) 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US8188599B2 (en) * 2006-02-28 2012-05-29 Advanced Interconnect Materials, Llc Semiconductor device, its manufacturing method, and sputtering target material for use in the method
US7354849B2 (en) * 2006-02-28 2008-04-08 Intel Corporation Catalytically enhanced atomic layer deposition process
US7701031B2 (en) * 2006-04-07 2010-04-20 United Microelectronics Corp. Integrated circuit structure and manufacturing method thereof
US7993972B2 (en) 2008-03-04 2011-08-09 Stats Chippac, Ltd. Wafer level die integration and method therefor
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
KR100895811B1 (ko) 2006-08-31 2009-05-06 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20080078983A1 (en) * 2006-09-28 2008-04-03 Wolfgang Raberg Layer structures comprising chalcogenide materials
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR20080074645A (ko) * 2007-02-09 2008-08-13 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20080242078A1 (en) * 2007-03-30 2008-10-02 Asm Nutool, Inc. Process of filling deep vias for 3-d integration of substrates
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8658533B2 (en) * 2011-03-10 2014-02-25 International Business Machines Corporation Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
CN103545196B (zh) * 2012-07-13 2017-04-19 中芯国际集成电路制造(上海)有限公司 金属互连线的制造方法
CN103531446A (zh) * 2013-10-18 2014-01-22 上海华力微电子有限公司 一种金属硬掩膜层及铜互连结构的制备方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102406573B1 (ko) 2017-04-28 2022-06-09 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20220116024A (ko) * 2020-03-11 2022-08-19 어플라이드 머티어리얼스, 인코포레이티드 촉매화된 증착을 사용하는 갭 충전 방법들
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02217469A (ja) * 1989-02-17 1990-08-30 Hitachi Ltd 薄膜の形成方法
JPH05226335A (ja) * 1992-02-13 1993-09-03 Toshiba Corp 薄膜の形成方法
JPH11283979A (ja) * 1998-03-27 1999-10-15 Sony Corp 半導体装置の製造方法
JPH11297696A (ja) * 1998-04-09 1999-10-29 Fujitsu Ltd 半導体装置及びその製造方法
JP2002525427A (ja) * 1998-09-11 2002-08-13 ゼニテックカンパニーリミテッド 表面上に触媒を導入した化学蒸着方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5098731A (en) 1990-06-05 1992-03-24 David Feldpausch Banana based frozen-nondairy dessert
US5087485A (en) * 1990-08-14 1992-02-11 Texas Instruments Incorporated Isopropanol catalyst for copper chemical vapor deposition
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5085731A (en) * 1991-02-04 1992-02-04 Air Products And Chemicals, Inc. Volatile liquid precursors for the chemical vapor deposition of copper
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
JPH08330251A (ja) * 1995-06-05 1996-12-13 Sony Corp 半導体装置の製造方法
JP3040745B2 (ja) * 1998-01-12 2000-05-15 松下電子工業株式会社 半導体装置及びその製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100332364B1 (ko) * 1998-09-01 2002-09-18 지니텍 주식회사 금속막의형성방법
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02217469A (ja) * 1989-02-17 1990-08-30 Hitachi Ltd 薄膜の形成方法
JPH05226335A (ja) * 1992-02-13 1993-09-03 Toshiba Corp 薄膜の形成方法
JPH11283979A (ja) * 1998-03-27 1999-10-15 Sony Corp 半導体装置の製造方法
JPH11297696A (ja) * 1998-04-09 1999-10-29 Fujitsu Ltd 半導体装置及びその製造方法
JP2002525427A (ja) * 1998-09-11 2002-08-13 ゼニテックカンパニーリミテッド 表面上に触媒を導入した化学蒸着方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7618739B2 (en) 2007-04-11 2009-11-17 Eveready Battery Co., Inc. Battery and fluid regulating system having chassis with molded electronics
US7632585B2 (en) 2007-04-11 2009-12-15 Eveready Battery Co., Inc. Battery having fluid regulator with pressure equalization
JP2012169590A (ja) * 2011-01-27 2012-09-06 Tokyo Electron Ltd Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
JP2012216765A (ja) * 2011-01-27 2012-11-08 Tokyo Electron Ltd Cu配線の形成方法および成膜システム

Also Published As

Publication number Publication date
JP3925780B2 (ja) 2007-06-06
DE60041522D1 (de) 2009-03-19
JP4792379B2 (ja) 2011-10-12
KR100465982B1 (ko) 2005-01-13
US20010019891A1 (en) 2001-09-06
US6720262B2 (en) 2004-04-13
JP2003517205A (ja) 2003-05-20
EP1247292A1 (en) 2002-10-09
JP2007123924A (ja) 2007-05-17
WO2001045149A1 (en) 2001-06-21
EP1247292B1 (en) 2009-02-04
KR20020065574A (ko) 2002-08-13

Similar Documents

Publication Publication Date Title
JP4792379B2 (ja) 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法
US7470612B2 (en) Method of forming metal wiring layer of semiconductor device
US6482735B1 (en) Method for improved metal fill by treatment of mobility layers
JP4615707B2 (ja) デュアルダマシン金属化方法
US6953745B2 (en) Void-free metal interconnection structure and method of forming the same
US7737026B2 (en) Structure and method for low resistance interconnections
KR100238698B1 (ko) 다층배선의 형성방법
JP2002075994A (ja) 半導体装置及びその製造方法
US20020177303A1 (en) Method for sealing via sidewalls in porous low-k dielectric layers
KR100367734B1 (ko) 반도체 소자의 배선형성 방법
JP2616402B2 (ja) 半導体装置の製造方法
KR100376873B1 (ko) 반도체 장치의 배선 및 배선 연결부와 그 제조방법
WO2000019522A1 (en) Improved methods for barrier layer formation
KR100749367B1 (ko) 반도체 소자의 금속배선 및 그의 제조방법
US6677232B2 (en) Method for fabricating metal conductors and multi-level interconnects in a semiconductor device
JPH1041386A (ja) 半導体装置の製造方法
US5930670A (en) Method of forming a tungsten plug of a semiconductor device
JP3939270B2 (ja) 配線構造の形成方法
JP2003218201A (ja) 半導体装置およびその製造方法
JP3269490B2 (ja) 半導体集積回路装置およびその製造方法
KR100574922B1 (ko) 반도체장치의 다층배선구조 및 그 제조방법
KR20010066720A (ko) 구리배선 형성방법
JPH11186390A (ja) 半導体装置の製造方法
KR100571386B1 (ko) 반도체 소자의 구리 배선 및 그의 제조 방법
KR100862826B1 (ko) 반도체 소자의 구리배선 형성방법

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20070417

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070417

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101012

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110308

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110602

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110705

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110725

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4792379

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term