JP2006526705A - アミノアルミニウム前駆体を用いるアルミニウム含有膜形成方法 - Google Patents

アミノアルミニウム前駆体を用いるアルミニウム含有膜形成方法 Download PDF

Info

Publication number
JP2006526705A
JP2006526705A JP2006508410A JP2006508410A JP2006526705A JP 2006526705 A JP2006526705 A JP 2006526705A JP 2006508410 A JP2006508410 A JP 2006508410A JP 2006508410 A JP2006508410 A JP 2006508410A JP 2006526705 A JP2006526705 A JP 2006526705A
Authority
JP
Japan
Prior art keywords
aluminum
precursor
film
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006508410A
Other languages
English (en)
Other versions
JP4842806B2 (ja
Inventor
ユルズィヒ、グレゴリー・エム.
インマン、ロナルド・エス.
Original Assignee
レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2006526705A publication Critical patent/JP2006526705A/ja
Application granted granted Critical
Publication of JP4842806B2 publication Critical patent/JP4842806B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)
  • Chemically Coating (AREA)

Abstract

【課題】非自燃性のアミノアルミニウム前駆体を用いてCVD法またはALD法によって酸化アルミニウム、窒化アルミニウムまたはアルミニウム酸窒化物の膜を形成するための堆積法を提供する。
【解決手段】
基板上にアルミニウム含有膜を形成する方法であって、化学構造Al(NR12)(NR34)(NR56)を持つ前駆体を準備し、R1,R2,R3,R4,R5及びR6の各々は、同じか又は異なり、水素及び少なくとも2つの炭素原子を含むアルキル基からなる群よりそれぞれ選択される。前駆体は、アルミニウム酸化物、アルミニウム窒化物およびアルミニウム酸窒化物のうちの少なくとも1つを含む基板の上に膜を形成するために用いる。各R1-R6基は、同じものであってもよいし異なるものであってもよい、更にストレートであってもよいし分岐アルキル部分であってもよい。アルミニウム含有膜形成のために模範的な前駆体はトリス・ジエチルアミノ・アルミニウムである。

Description

本発明はアルミニウム含有膜の形成に係り、とくに、アルミニウム含有膜を形成するための化学前駆体に関する。
酸化アルミニウム膜は良好な電気絶縁特性および高い誘電定数を有しており、それによってこれらの膜が集積回路設計の層材料として極めて有利である。例えば、アルミニウム含有膜は、マイクロプロセッサおよびメモリ・デバイスで使用されるトランジスタおよびコンデンサの製造で重要な役割を演じている。さらに、集積回路デバイスのスケーリング寸法がナノメートル・スケールで減少し続けていることから、これらの膜はMOSFETトランジスタ及びDRAMデバイスの容量構造の将来のゲート絶縁体層の形成誘電膜で重大な役割を演じそうである。特に、例えばMOSFETトランジスタのゲート誘電体層およびDRAMメモリ装置の容量記憶媒体の優れた材料となるべき膜に含まれる酸化アルミニウムにおいて半導体技術の最近の進歩が見られる。
望ましい電気特性を有することに加えて、Al23膜は良好な化学的不活性および機械的強度特性を有している。このためAl23膜は、ハードディスクヘッド上の保護層、集積回路包装および金属合金のための反腐食バリアのような非電子回路の用途にも広く使用されている。
Al23の堆積は、種々のアルミニウム前駆体(例えば、アルキル・アルミニウム及びアルキル・アルミニウム水素化化合物)を酸素源と連動して用いる化学気相堆積(CVD)または原子層堆積(ALD)の方法により伝統的に達成されてきている。半導体製造のための薄膜の堆積において、ALDは、絶えず縮小化し続けている半導体装置の例えばAl23膜を非常に薄くかつ非常に均一な層を堆積させる必要があることから、特に望ましいものである。
CVDで共通に用いられる前駆体の1つの部類にトリメチルアルミニウム(TMA)のようなトリアルカリ・アルミニウム前駆体が含まれる。非特許文献1を参照のこと。
アルミニウム酸化物を堆積させる前駆体としてアルミニウム・イソプロプ酸化物も使用されている。非特許文献2を参照のこと。しかし、非特許文献2の化合物は、容易に重合するので、異なる蒸気圧を有する種々の異性体の混合物として存在する。従って、この前駆体の気化は、予測することが不可能であり、制御するのが困難である。さらに、その他に、Al23堆積用前駆体としてアルミニウム・2-エチルヘキサノエート(aluminum 2-ethyhexanoate)が用いられているが、その低い蒸気圧のために堆積速度が遅く、その結果、高いスループットが要望される状況ではこの混合物の効用が制限される。非特許文献3を参照のこと。
酸化アルミニウム膜を形成するための前駆体としてアルキル・アルミニウム化合物を用いることと関連する1つの欠点は、その多くが自燃性(例えば、空気中で自然に発火する)であり、これらの使用において安全に対する重大な危険が現われることにある。この種の危険をできるだけ避けるように今日の工業では強い関心が向けられている。
関連する不利な点は、特に過剰な酸素が存在するときに、CVD室内の蒸気相の粉化されたアルミナの形成に結果としてなることがありえる酸素を有するこれらの化合物の高い反応性にある。
以下に、種々の膜堆積用途に使用可能性がある揮発性Al化合物のリストを列記する。
化合物 温度/圧力での相 自燃性又は非自燃性
AlH(Me)2 〜65℃/2.13kPaで液体 自燃性
AlH(Me)3 20℃/1.07kPaで液体 自燃性
Al(Et)2Cl 液体 自燃性
Al(Et)3 186℃/101.3kPaで液体 自燃性
Al(Acac)3 150℃/0.13kPaで固体 非自燃性
Al(6Facac)3 50℃/13.3kPaで固体 非自燃性
Al(Thd)3 150℃/1.33kPaで固体 非自燃性
Al(i-OPr)3 132℃/0.80kPaで固体 非自燃性
Al(t-OBu)3 156℃/0.27kPa 非自燃性
Al2(Et)3(s-OBu)3 〜185℃/5.33kPaで液体 非自燃性
但し、Me=メチル
Et=エチル
Opr=プロポキシ酸化物
Obu=ブトキシ酸化物
Acac=アセチルアセトネート(2,4ペンタンジオネート)
Thd=テトラメチルヘプタンジオネート
6Facac;ヘキサフルオロアセチルアセトネート
上記リストした可能性のある前駆体のうちのいくつかはアルミニウム堆積プロセスでの効果的な使用のために十分な揮発性を有している。しかし、これらの化合物の多くは自燃性(pyrophoric)であり、これらの使用において特に工業環境において実質的に安全に対するリスクがある。自燃性(pyrophoricity)に加えて、これらの化合物は、膜の炭素結合の電位(potential)のために高誘電絶縁体用途に不適正であるアルミニウム−炭素(Al-C)結合を含んでおり、次々に抵抗性の低減を促進させる。これは、漏洩電流の増加を促すためゲート誘電体やコンデンサ膜において明らかに不利である。
さらに、上記リストの残りの非自燃性化合物の多くは、アルミニウム−酸素(Al-O)結合を含んでいる。これらの結合はアルミニウム−窒素(Al-N)結合(71kcal/モル)に比べてかなり強く(122kcal/モル)、このため堆積プロセスにおいて酸素の代わりに窒素を用いるとかなり異なるものとなる。そのようにするために膜堆積全体(典型的にはプラズマ窒化処理)において追加プロセス工程が要求される。プロセス工程の増加は、今日の半導体工業界において経済競争に耐えるためにぎりぎりの要素である信頼性を全般的に低下させる。
さらに高温又はプラズマのような高エネルギープロセスの使用は、処理運転コストを低減させるばかりでなく、CMOSデバイス内のトランジスタの臨界ゲート誘電体層の品質にとって有害でもある。したがって、アルミニウム酸化物または他の膜を形成する際には強固なAl-O結合がない前駆体を用いることが望ましい。このようなタイプの前駆体は化学処理を経て得られた酸化物と窒化物との間のプロセス調和性に有利なものを提供する。
アルミニウム窒化物(AlN)膜を堆積させるためにアルミニウムジメチルアミドが使用された(非特許文献4参照)。原理的にはアルミニウムジメチルアミドは酸化剤の存在下ではAl23を産出させるものでもあるかもしれない。しかし、アルミニウムジメチルアミドは、二量体として存在し、室温で固体である。これらの属性の両方ともが気相堆積法のためには良い性質ではない。
M.Gustin and R.G.Gordon,Journal of Electronic Materials,第17巻、509頁-517頁(1988) J.A.Aboaf,Journal of Electrochemical Society,第114巻、948頁-952頁(1967) T.Maruyama and T.Nakai,Applied Physics Letter,第58巻、2079頁-2080頁(1991) J.Vac.Soc.Technol.,1996,14,306
本発明の目的は、非自燃性のアミノアルミニウム前駆体を用いてCVD法またはALD法によって酸化アルミニウム、窒化アルミニウムまたはアルミニウム酸窒化物の膜を形成するための堆積法を提供することにある。
本発明の他の目的は、堆積法のためにハロゲン、Al-O結合及び/又はAl-C結合を除外するアミノアルミニウム前駆体を提供することにある。
本発明の更なる目的は、ハフニウム酸化物(HfO2)膜のなかでAl23の結合を容易にするためにハフニウム前駆体と互換性を持つアミノアルミニウム前駆体を提供することにある。
上述した目的は個々に達成されるおよび/または、それは組合せおよびそれにおいて意味されなくてある、本発明は明白に、本願明細書に取り付けられる請求項によって必要である限り、目的のうちの少なくとも2つが結合されることを必要として、解釈される。
本発明の膜形成方法では、基板上にアルミニウム含有膜を形成する方法であって、化学構造Al(NR12)(NR34)(NR56)を持つ前駆体を準備し、R1,R2,R3,R4,R5及びR6の各々は、水素及び少なくとも2つの炭素原子を含むアルキル基からなる群よりそれぞれ選択され、アルミニウム酸化物、アルミニウム窒化物およびアルミニウム酸窒化物のうちの少なくとも1つを含む基板の上に膜を形成するために前駆体を用いる。各R1-R6基は、同じものであってもよいし異なるものであってもよい、更にストレートであってもよいし分岐アルキル部分であってもよいし半分(moieities)であってもよい。例えば、R1-R6基のいかなるアルキル基も、nが少なくとも1である化学式(CH2nCH3を有する直鎖基でありえる(例えば、エチル基、プロピル基、ブチル基、その他)。また、いかなるR1-R6基のアルキル基も、イソプロピル、イソブチル、第三ブチルなどに限定されるものではないが、分岐した鎖基でありえる。
好ましくは、R1-R6基の各々のアルキル基は、5つ以上の炭素原子を含まない。特に、CVD又はALDによってアルミニウム含有膜を形成するのに有用であると判明した好適な前駆体は、トリス・ジエチルアミノ・アルミニウムまたはAl(NEt23である。さらに、Al-OおよびAl-C結合を除外する本発明のアルミニウム含有膜を形成するのに有用である前駆体であることが強調される。
前駆体は、酸化アルミニウム(Al23)膜、アルミニウム窒化物(AlN)膜および/またはアルミニウム酸窒化物(Al-O-N)膜を堆積させるために用いてもよい。同じであるか異なる構造をもつ上記式の前駆体を組合せて使用することができる。好ましくは、アルミニウム窒化物膜またはAl23膜を堆積させるために単一の前駆体を用いる。好ましくは、共同薬剤として湿分を用いる。好ましくは、O:N比率は酸窒化物膜のために制御される。
前駆体構造に酸素がない場合であっても、共反応体蒸気に含まれる種々の酸素を用いて酸化物または酸窒化物膜が発生しうる。この種の共反応体を伴う酸化物膜または酸窒化物膜の堆積は、化学気相堆積(CVD)または原子層堆積(ALD)のいずれであっても行われる。いずれの堆積法であっても、前駆体は堆積のために基板を含む反応室に入る前に最初に蒸発する。前駆体は、単独で用いてもよいし、本発明の他の前駆体または上記の式を持たない異なる前駆体と組み合わせて用いることができる。組み合わせて用いる後者の場合、膜を堆積させる際に2つの別々の気化器が好まれる。
Al23膜の形成は、室に蒸気を酸化させている共反応体を導くことによって達成される。前駆体に対する酸化剤の比率を減らすことによって、共反応体化学の使用は、Al23、からAl-O-N、AlNまでの化学的化学量論の調和をもたらす。これは、製品用途に最適なものとなるようにするために化学量論を通して電気的な膜特性を微調整することが可能になるという更なる利点を有する。本発明を実施するために、適切な酸化剤の可能な原料としては酸素、窒素酸化物、CO2、水、アルコールおよびシラノールが挙げられるが、これらに限定されるものではない。ここで、適切な酸化剤の特定の選択は反応状況に依存する。トリス・ジエチルアミノ・アルミニウムは、例えばCVDまたはALD法の共反応体としてH2Oを用いると良好なAl23膜を提供する。
特に、この前駆体をALD法に用いるときに、膜厚が極めて薄い(例えば、約100オングストローム未満)高順応膜が生成される。これらは、ゲート酸化物膜、MOSFETおよびDRAMメモリの容量構造の高い誘電層のための理想的な属性である。
アルキル・アミノAl前駆体は、非自燃性であり、いかなる酸素からも自由で、ハロゲン化物(例えば、塩素)または金属カーボン結合であるので、特に本発明の堆積プロセスに有用である。これらの前駆体は、酸化物の化学量論の制御において柔軟性を有するので安全性の点で大きな利点を提供する。
上記でなお更なる本発明の目的、特徴および利点は、本発明の特定の実施例の以下の詳細な説明をよく考えることに明らかになる。
アルミニウム前駆体は、電子装置(例えば集積回路、コンデンサ、トランジスタ、ダイオード)の製作において広く使用されている光電子工学のIIIとIV半導体装置である。例えば、N.N. グリーンウッド,A.Earnshaw,要素の化学、パーガモン・プレス、1984を参照のこと。特に、例えばMOSFETトランジスタのゲート誘電体層およびDRAMメモリ装置の容量記憶媒体のようにある臨界的用途の選択材料となるべき膜に含まれる酸化アルミニウムにおいて半導体技術の最近の進歩が見られる。
望ましい電気特性を有することに加えて、Al23膜は良好な化学的不活性および機械的強度特性を有している。このためAl23膜は、ハードディスクヘッド上の保護層、集積回路パッケージおよび金属合金のための反腐食バリアのような非電子回路の用途にも広く利用されている。
上述したように、Al23膜の堆積は、アルキルアルミニウムまたはアルキルアルミニウム水素化化合物のような前駆体を用いて通常実施される。使用される前駆体の多くは、自燃性であり、それゆえにそれらの使用はかなりの危険を伴うものである。したがって、アルミニウム酸化物(Al23)膜を製造するとき、同様に他の膜(例えば、膜およびアルミニウム酸窒化物(Al-O-N)膜およびアルミニウム窒化物(AlN)膜)を製造するときに、この種の前駆体と関連する危険を軽減したいということが要望されている。
本発明の方法は、非自燃性で、ハロゲンを含有せず、かつ、酸素を含有せず、アルミニウム酸化物、アルミニウム窒化物またはアルミニウム酸窒化物の膜を生産する堆積法の前駆体としての用途のために、Al-C結合を持たないアミンアルミニウム化合物を提供することを含むものである。
前駆体の非自燃性の属性は、前駆体化合物を取り扱うことと関連する安全性の危険を低減する。その一方で、前駆体化合物からの酸素の除去は、膜化学量論の制御を高めるとともに、特定の用途に対する膜特性の適応性を向上させる。Al-C結合を無くすことは、膜中への炭素侵入の危険を最小にし、徐々に膜抵抗力を低下させ、比較的高い誘電絶縁体材料の電気性能を減少させる。
それに加えて、前駆体は、プロセスのためのシステム配管およびチャンバに対する腐食を防止するためにハロゲン無しであることが好ましい。例えば、前駆体内の塩素の存在は、最終製品内での塩化物膜の形成を促進することにつながることがある。さらに、ハロゲンが高k誘電体膜内に配置されているときには、それらがサイトにチャージ捕捉され、かなり膜の電気特性を低下させることがあるので、ハロゲンは有害である。
堆積法に使用する前において化学前駆体が液相であることも好ましい。この理由は、液体の前駆体は固体の前駆体と比べてより容易により整合し制御してチャンバに輸送することができるからである。さらに、固体の前駆体の利用を避けることは、CVDまたはALD法の間における前駆体の昇華と関連する相違点や不一致を除去する。それに加えて、前駆体は、堆積法において前駆体蒸気の適正な濃度とするために十分な揮発性を有することが好ましい。
最近では、ハフニウム酸化物(HfO2)膜内において結合されるAl23に対する関心が増加してきている。したがって、ハフニウム前駆体に対してアミノアルミニウム前駆体が適合性をもつことが好ましい。これが特に役立つ実施例用途としてはゲート誘電体膜CMOSデバイスの堆積がある。ここで、ハフニウム・アルミン酸塩膜組成物は望ましい電気特性を提供する。
本発明によれば、上記の基準を満たすアミノアルミニウム前駆体の一般的なクラスは、以下の化学的構造のアルキルアミノアルミニウムである。
Al(NR12)(NR34)(NR56);
1,R2,R3,R4,R5及びR6の各々は、水素及び少なくとも2つの炭素原子を含むアルキル基からなる群よりそれぞれ選択される。各R1-R6基は、同じものであってもよいし異なるものであってもよい、更にストレートであってもよいし分岐アルキル部分であってもよいし半分(moieities)であってもよい。例えば、R1-R6基のいかなるアルキル基も、nが少なくとも1である化学式(CH2nCH3を有する直鎖基でありえる(例えば、エチル基、プロピル基、ブチル基、その他)。また、いかなるR1-R6基のアルキル基も、イソプロピル、イソブチル、第三ブチルなどに限定されるものではないが、分岐した鎖基でありえる。前駆体は、全部が直鎖のアルキル基、全部が分岐した鎖のアルキル基、またはこれらの組み合わせをさらに含むことができる。
前駆体は堆積Al23、AlNまたはAl-O-N膜に使用することができる。上記の化学的構造の前駆体の組合せを用いることができる。ここで、それぞれの前駆体は一つまたはそれ以上の他の前駆体として同じであるか又は異なる構造を有する。好ましくは湿気(例えば、水)を堆積プロセスの共同薬剤として用いる。好ましくは、単一の前駆体のみをアルミニウム窒化物膜またはAl23膜を堆積させるために用いる。好ましくは、酸窒化物膜のためにO:N比率を制御する。
好ましくは、誘電定数が少なくとも約9になるように、Al23膜を堆積する。好ましくは、電気伝導率が1MV/cmで約10-6A/cm2より大きくならないように、Al23膜を堆積する。より好ましくは、伝導率が1MV/cmで約10-7A/cm2より大きくならないようにする。さらにより好ましくは、伝導率が1MV/cmで約10-8A/cm2より大きくならないようにする。好適な堆積プロセスはCVDまたはALDであることが好ましく、最も好ましくはALDを膜形成に用いる。
上記の化学的構造によって定義される前駆体化合物は多くの好ましい特徴を有するが、これに限定されるものではなく、それらが非自燃性であり、それらが適正な蒸気圧を有し、それら(例えば、ジエチルアミノ前駆体化合物)が周囲環境条件下で液体として存在し、それらが非ハロゲン化され、それらがAl-OまたはAl-C結合をもたない。さらに、これらの前駆体混合物は、合成するのが容易であり、不活性雰囲気中で安定であり、共通の配位子をもつ他の前駆体化合物(例えば、ハフニウム前駆体)とともに共堆積するのに適したものである。
CVDまたはALDのAl23膜の堆積に特に有用であることが決められた1つの好適な前駆体は、トリス・ジエチルアミノ・アルミニウムまたはAl(NEt2)3である。この化合物を用いて形成された酸化アルミニウム膜は、非常に高い品質である。それに加えて、この前駆体は良好な化学的適合性を有し、ゲート酸化物ハフニウム前駆体テトラキス・ジエチルアミノ・ハフニウムを有する共堆積に特に適している。この適合性は、(双方の前駆体が同じ配位子を含むので)望ましくない配位子交換にかかわることなく2つの前駆体を一緒に混合することが有利であることを表わしている。90ナノメートルを下回るデバイスの近い将来のゲート材料として心に描いている膜を提供するために、ハフニウム酸化物のなかへの酸化アルミニウムの侵入を容易にする。さらに、テトラキス・ジエチルアミノ・ハフニウムおよびトリス・ジエチルアミノ・アルミニウムは、2つの前駆体の共堆積を容易にする類似した蒸気圧を有する。
本発明の前駆体は、いかなる従来のCVD法またはALD法も利用して堆積することができる。行われたテストにおいて、Al23膜の堆積は、ALD堆積法の共反応体としてH2Oを伴う前駆体としてトリス・ジエチルアミノ・アルミニウムおよびトリス・ジイソプロピルアミノ・アルミニウムを用いて実施した。各プロセスにおいて、前駆体および共反応体の反応器内への輸送は、約120℃の温度に維持されたマニホールドを用いて達成されていた。空気圧弁を短時間開けることにより、堆積反応器内に湿分パルスを直接導入し、純粋な湿分蒸気を反応器に入れた。前駆体パルスは、前駆体蒸気およびキャリアガス流の希釈された混合物として、反応器内に導入された。キャリアガスは、実施されるテスト全てにおいてアルゴンであった。この混合物の前駆体蒸気の濃度は、前駆体およびキャリアガス圧力の蒸気圧によって制御した。このテストにおいて、キャリアガス圧力は、概して数トル(数百Pa)以下であって、約100標準立方センチメートル(sccm)で前駆体原料を通流させた。
前駆体としてトリス・ジエチルアミノ・アルミニウムを用いて実施されるテストのために、処理条件を次のように設定した。
前駆体温度=115℃
基板温度=300℃
ALDのパルス・シーケンス(サイクル当たり): ソース 3秒間
パージ 5秒間
湿分 0.6秒間
パージ 10秒間
トリス・ジエチルアミノ・アルミニウム前駆体を用いて形成した膜が均一であった結果、特に汚染を認めなかった。さらに、膜成長運動エネルギは1パルス・サイクルにつき約0.7オングストロームの厚さでリニアであることが観察され、1秒から5秒までの期間中に前駆体パルスに伴って自立する成長を示した。図1のグラフにおいてプロットされたデータは、上記の条件下でシリコン基板上の膜厚さに前駆体サイクルが依存することを明らかにしている。
前駆体としてトリス・ジ-イソプロピルアミノ・アルミニウムを用いて実施したテストにおいて、処理条件を次のように設定した。
前駆体温度=80℃
基板温度=300℃
ALDのパルス・シーケンス(サイクル当たり): ソース 4秒間
パージ 8秒間
湿分 0.8秒間
パージ 12秒間
本テストにおいてもトリス・ジ-イソプロピルアミノ・アルミニウム前駆体がALDプロセスにおいて均一な膜を堆積することを示している。
この場合に、約150オングストロームの膜厚の膜は、配位子パルスの150サイクル後に形成された(例えば、パルスサイクル当たり約1オングストローム膜厚にリニア成長運動エネルギがみられた)。
上記したように、本発明のアルキル・アミノ・アルミニウム前駆体は、Al23膜、AlN膜、Al-O-N膜の堆積においても用いることができる。
AlN膜を形成するための前駆体としてトリス・ジメチルアミノ・アルミニウム(Al(NMet23)化合物を用いることは公知であるが、本発明では、メチルアミノアルミニウム化合物よりはむしろ、より高いアルキル・アミノ・アルミニウム化合物(例えば、ジエチル、またはより高い分岐、または直鎖炭素アミノアルミニウム化合物)を用いるほうが有利である。例えば、より高いアルキル・アミノ・アルミニウム化合物は、オリゴマー化による自己変質に対する感受性が小さい。オリゴマー化変質の問題は、多くのオリゴメタリック化合物のタイプに関する周知の課題であって、1つの分子の配位子上の窒素原子が金属に追加の結合相互作用を形成する所で、架橋を介して起こる。この望ましくないプロセスは、防止できるか、または他方から1つの化合物の金属サイトをシールドするためにより大きいアルキル基を有する化合物を利用することによって抑制することができる。したがって、アルキルアルミニウム前駆体化合物内のより高いアルキル基の使用は、堆積プロセス中において化合物に対してより大きい安定性を与える。
ジエチルアミノ化合物のようなより大きいアルキルアミノ基の使用は他の効果をもたらす。メチルアミノアルミニウム化合物とは異なり、概略の処理条件下でより高いアルキルアルミニウムの多くが液体として存在し、これにより制御するのがこれほどより簡単で、堆積ツールにより整合した輸送を提供するということにある。溶媒は、前駆体の輸送を制御するために液状の溶液を形成するために固体を溶かすために用いることができ、この種の溶媒の使用は方法の溶解力がある反応の危険を増大させ、最終的に、膜の炭素汚染および/または膜形成に必要な利用できる酸化剤の経年変化による変質性を促進させる。
前駆体内のより大きいアルキル基(例えば、ジエチル、イソプロピルおよび/またはイソブチルアミノ型配位子)を提供する更なる効果は、この種の化合物が膜堆積中に安定した副産物の形成を容易にするということにある(例えば、エチレン、イソプロピレン、t-ブチレン、その他)。
これは、より少ない厳しいプロセス条件(例えばより低い温度)で始められることができる熱酸化または自燃性タイプ・膜堆積メカニズムの堆積化学により穏やかな用途、酸化剤の使用など、を提供するプロセスコスト、材料要求およびプロセス信頼性に関して有利である。
アミノアルミニウム前駆体を利用している膜を含むアルミニウムを形成する新規な方法を記載して、他の変更態様、変形例および変化が本願明細書において記載される教示からみて当業者に提案されると思われる。
全てのこの種のバリエーション、変更態様および変化が添付の請求項に記載の本発明の範囲内になると思われることは、したがって、理解されることになっている。
図1は、本発明によるALD法のトリス・ジエチルアミノ・アルミニウムを用いるAl23膜の成長率を示すグラフである。

Claims (13)

  1. 基板上にアルミニウム含有膜を形成する方法であって、
    化学構造Al(NR12)(NR34)(NR56)を持つ前駆体を準備し、
    1,R2,R3,R4,R5及びR6の各々は、同じか又は異なり、水素及び少なくとも2つの炭素原子を含むアルキル基からなる群よりそれぞれ選択され、
    アルミニウム酸化物、アルミニウム窒化物およびアルミニウム酸窒化物のうちの少なくとも1つを含む基板の上に膜を形成するために前駆体を用いることを特徴とする膜形成方法。
  2. 前記アルキル基が僅か6つの炭素原子を含む請求項1記載の方法。
  3. 前記前駆体がトリ・ジエチルアミノ・アルミニウムを有する請求項1記載の方法。
  4. 前記膜が化学気相堆積法により前記基板の上に形成される請求項1記載の方法。
  5. 前記膜が原子層堆積法により前記基板の上に形成される請求項1記載の方法。
  6. 前記原子層堆積法によって基板の上に形成される膜の厚さが約100オングストローム以下である請求項5記載の方法。
  7. さらに、前記膜を形成するために前記前駆体と共反応体を化合させる請求項1記載の方法。
  8. 前記共反応体は、水、酸素、窒素酸化物、二酸化炭素、アルコール、シラノール及びこれらの組合せからなる群より選択される請求項7記載の方法。
  9. さらに、前記基板の上に形成される前記膜を含む前記基板を用いて電子装置を形成する請求項1記載の方法。
  10. 前記電子装置は、前記基板の上に形成される膜を有する誘電体層を含む請求項9記載の方法。
  11. 前記電子装置は、前記基板の上に形成される膜を有する記憶媒体層を含む請求項9記載の方法。
  12. 前記前駆体は、アルミニウム酸化物、アルミニウム窒化物およびアルミニウム酸窒化物のうちの少なくとも1つを含む膜を形成するために第2の前駆体と一緒に共堆積されたものである請求項1記載の方法。
  13. 前記前駆体はトリ・ジエチルアミノ・アルミニウムを含み、前記第2の前駆体はテトラキス・ジメチルアミノ・ハフニウムを含み、前記膜はアルミニウム酸化物およびハフニウム酸化物を含む請求項12記載の方法。
JP2006508410A 2003-06-05 2004-05-19 アミノアルミニウム前駆体を用いるアルミニウム含有膜形成方法 Expired - Lifetime JP4842806B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US47627303P 2003-06-05 2003-06-05
US60/476,273 2003-06-05
US51475703P 2003-10-27 2003-10-27
US60/514,757 2003-10-27
US10/844,579 US7141500B2 (en) 2003-06-05 2004-05-13 Methods for forming aluminum containing films utilizing amino aluminum precursors
US10/844,579 2004-05-13
PCT/IB2004/001642 WO2004108985A2 (en) 2003-06-05 2004-05-19 Methods for forming aluminum containing films utilizing amino aluminum precursors

Publications (2)

Publication Number Publication Date
JP2006526705A true JP2006526705A (ja) 2006-11-24
JP4842806B2 JP4842806B2 (ja) 2011-12-21

Family

ID=33514721

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006508410A Expired - Lifetime JP4842806B2 (ja) 2003-06-05 2004-05-19 アミノアルミニウム前駆体を用いるアルミニウム含有膜形成方法

Country Status (9)

Country Link
US (1) US7141500B2 (ja)
EP (1) EP1633904B1 (ja)
JP (1) JP4842806B2 (ja)
KR (1) KR20060010753A (ja)
AT (1) ATE422563T1 (ja)
DE (1) DE602004019410D1 (ja)
SG (1) SG158750A1 (ja)
TW (1) TWI341334B (ja)
WO (1) WO2004108985A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013065806A1 (ja) * 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
JP2014076981A (ja) * 2012-09-21 2014-05-01 Ube Ind Ltd 非対称ジアルキルアミン化合物の製造方法
JP2014214151A (ja) * 2013-04-30 2014-11-17 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物の製造方法
JP2014214152A (ja) * 2013-04-30 2014-11-17 宇部興産株式会社 非対称ジアルキルアミン化合物の製造方法
US9080065B2 (en) 2012-03-02 2015-07-14 Jsr Corporation Composition for forming aluminum-containing film, and method for forming aluminum-containing film
JP2015149461A (ja) * 2014-02-10 2015-08-20 東京エレクトロン株式会社 金属酸化物膜の成膜方法および成膜装置

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7459913B2 (en) * 2004-08-13 2008-12-02 International Business Machines Corporation Methods for the determination of film continuity and growth modes in thin dielectric films
TWI247152B (en) * 2004-12-24 2006-01-11 Ind Tech Res Inst Array type optical sub-device
KR100724084B1 (ko) * 2005-11-16 2007-06-04 주식회사 유피케미칼 디알킬아미도디하이드로알루미늄 화합물을 이용한 박막증착방법
JP5062507B2 (ja) * 2006-02-08 2012-10-31 学校法人早稲田大学 アルミナ膜とその製造方法並びに光学装置
WO2007121524A1 (en) * 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
KR100829539B1 (ko) * 2007-04-13 2008-05-16 삼성전자주식회사 박막 제조 방법, 이를 이용한 게이트 구조물 및 커패시터의제조 방법
US20090324825A1 (en) * 2008-05-30 2009-12-31 Evenson Carl R Method for Depositing an Aluminum Nitride Coating onto Solid Substrates
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8927439B1 (en) * 2013-07-22 2015-01-06 Rohm And Haas Electronic Materials Llc Organoaluminum materials for forming aluminum oxide layer from coating composition that contains organic solvent
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10745808B2 (en) 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) * 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US9633896B1 (en) * 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10211097B2 (en) 2015-12-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10049869B2 (en) 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10943780B2 (en) 2017-11-19 2021-03-09 Applied Materials, Inc. Methods for ALD of metal oxides on metal surfaces
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102569299B1 (ko) * 2018-04-05 2023-08-22 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물들의 저온 ald를 위한 방법들
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI833804B (zh) 2018-09-21 2024-03-01 美商應用材料股份有限公司 含鋁膜的間隙填充
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN115279940B (zh) * 2020-02-24 2024-04-09 Up化学株式会社 铝前体化合物、其制备方法和使用其形成含铝膜的方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62276832A (ja) * 1986-05-26 1987-12-01 Hitachi Ltd 被膜形成方法およびそれを用いた半導体装置の製造方法
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI92897C (fi) * 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6159855A (en) * 1998-04-28 2000-12-12 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP4014431B2 (ja) * 2002-03-27 2007-11-28 富士通株式会社 半導体記憶装置及び半導体記憶装置の製造方法
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6803275B1 (en) * 2002-12-03 2004-10-12 Fasl, Llc ONO fabrication process for reducing oxygen vacancy content in bottom oxide layer in flash memory devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62276832A (ja) * 1986-05-26 1987-12-01 Hitachi Ltd 被膜形成方法およびそれを用いた半導体装置の製造方法
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013065806A1 (ja) * 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
JPWO2013065806A1 (ja) * 2011-11-02 2015-04-02 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9194041B2 (en) 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
US9080065B2 (en) 2012-03-02 2015-07-14 Jsr Corporation Composition for forming aluminum-containing film, and method for forming aluminum-containing film
JP2014076981A (ja) * 2012-09-21 2014-05-01 Ube Ind Ltd 非対称ジアルキルアミン化合物の製造方法
JP2014214151A (ja) * 2013-04-30 2014-11-17 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物の製造方法
JP2014214152A (ja) * 2013-04-30 2014-11-17 宇部興産株式会社 非対称ジアルキルアミン化合物の製造方法
JP2015149461A (ja) * 2014-02-10 2015-08-20 東京エレクトロン株式会社 金属酸化物膜の成膜方法および成膜装置

Also Published As

Publication number Publication date
SG158750A1 (en) 2010-02-26
JP4842806B2 (ja) 2011-12-21
TWI341334B (en) 2011-05-01
US20050003662A1 (en) 2005-01-06
DE602004019410D1 (de) 2009-03-26
US7141500B2 (en) 2006-11-28
KR20060010753A (ko) 2006-02-02
WO2004108985A3 (en) 2005-06-30
TW200506090A (en) 2005-02-16
EP1633904B1 (en) 2009-02-11
ATE422563T1 (de) 2009-02-15
EP1633904A2 (en) 2006-03-15
WO2004108985A2 (en) 2004-12-16

Similar Documents

Publication Publication Date Title
JP4842806B2 (ja) アミノアルミニウム前駆体を用いるアルミニウム含有膜形成方法
US9911590B2 (en) Methods of forming dielectric films, new precursors and their use in semiconductor manufacturing
KR101124722B1 (ko) 4족 금속?함유 필름을 증착시키기 위한 전구체
KR101656890B1 (ko) 원자 층 증착(ald) 공정을 사용하는 기재 위 티타늄-함유 층 제조 방법
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
Lee et al. Effects of O 3 and H 2 O as oxygen sources on the atomic layer deposition of HfO 2 gate dielectrics at different deposition temperatures
JP2004529495A (ja) 誘電体薄膜をcvd形成するための金属アミド前駆体およびアミノシラン前駆体
KR102391392B1 (ko) 란탄, 란탄 옥사이드 및 란탄 니트라이드 막들을 증착시키기 위한 란탄 전구체들
KR102530814B1 (ko) 박막 증착을 위한 5족 금속 화합물 및 이를 이용한 5족 금속 함유 박막의 형성 방법
EP2499274A2 (en) Methods of making and deposition methods using hafnium- or zirconium-containing compounds
KR20100117500A (ko) 지르코늄 함유 필름의 원자층 증착에 유용한 지르코늄 전구체
JP6705006B2 (ja) 有機4族化合物を含む前駆体造成物及びそれを利用した薄膜形成方法
KR102093226B1 (ko) 규소함유 유기 금속 전구체 화합물, 이의 제조방법 및 이를 이용한 금속-규소 산화물 박막의 제조 방법
CN1798866A (zh) 用氨基铝前体形成含铝薄膜的方法
JP7232307B2 (ja) 希土類前駆体、その製造方法及びこれを用いて薄膜を形成する方法
US20220145461A1 (en) Rare earth precursor, method of preparing the same, and method of forming thin film using the same
US20230187202A1 (en) Deposition of silicon nitride with enhanced selectivity
JP2008007471A (ja) 有機金属化学蒸着法用原料及び該原料を用いたシリコン含有膜の製造方法
WO2023122471A1 (en) Homoleptic bismuth precursors for depositing bismuth oxide containing thin films
WO2023122470A1 (en) Precursors for deposition of bismuth-containing films
KR20200114741A (ko) 유기 금속 화합물, 이를 이용한 박막의 제조 방법, 및 박막을 포함하는 반도체 소자
KR20050015441A (ko) 산화하프늄 박막 증착 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110816

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110906

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111006

R150 Certificate of patent or registration of utility model

Ref document number: 4842806

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141014

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250