JP2006511088A - コンデンサ構成体の製造方法およびコンデンサ構成体 - Google Patents

コンデンサ構成体の製造方法およびコンデンサ構成体 Download PDF

Info

Publication number
JP2006511088A
JP2006511088A JP2004562483A JP2004562483A JP2006511088A JP 2006511088 A JP2006511088 A JP 2006511088A JP 2004562483 A JP2004562483 A JP 2004562483A JP 2004562483 A JP2004562483 A JP 2004562483A JP 2006511088 A JP2006511088 A JP 2006511088A
Authority
JP
Japan
Prior art keywords
electrode
layer
capacitor structure
electrodes
electrode layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004562483A
Other languages
English (en)
Other versions
JP4628794B2 (ja
Inventor
イェンス バッハマン,
ベルント フェーステ,
クラウス ゴラー,
ヤーコプ クリーツ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of JP2006511088A publication Critical patent/JP2006511088A/ja
Application granted granted Critical
Publication of JP4628794B2 publication Critical patent/JP4628794B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

本発明は、とりわけ、少なくとも3つの電極(114a、118aおよび122b)を内蔵するコンデンサアセンブリを製造する方法に関するものである。コンデンサアセンブリ(110)は、電極(114a、118aおよび122b)の数より少ない数のリソグラフィプロセスを用いて製造される。また、本発明は、メタライゼーション層間にある2つ以上の中間層上にわたって形成されたコンデンサアセンブリに関する。この回路構成は、高いキャパシタンス密度を有し、より簡単に製造することができる。本発明は、さらに、ドライエッチングプロセスによってまず電極層を作り込むようにしたコンデンサアセンブリの製造方法に関する。電極層の残留物は、ウェット化学処理法によって除去する。これらの本発明の技術的手段によって、優れた電気特性を持つコンデンサの製造が可能となる。

Description


本発明は、積層構造が作製されるコンデンサ構成体の製造方法に関する。この積層構造は、下記に記載する順序で積層された構成部分よりなる:
−ベース電極用のベース電極層、
−ベース誘電体、
−カバー電極用のカバー電極層。
そのような形態のコンデンサは、集積回路構成体の分野でMIM(金属−絶縁体−金属(metal−insulator−metal))コンデンサとしても知られているが、これらの電極層や電極は必ずしも金属あるいは合金で形成する必要はない。例えば、ドープトポリシリコンで形成された電極もある。これらの電極は、通常、抵抗率が10−3Ωcm以下である。これらの電極間には、通常1012Ωcm以上の電気抵抗率を持つ誘電体が配設される。
多くの用途において、現在、集積回路中のコンデンサの直線性および品質に関して独特の問題が存在する。また、集積コンデンサの製造を可能な限り簡単化するべきである。
さらに、必要な単位面積当たりのキャパシタンスを可能な限り大きくするべきである。専門論文、とりわけ、N.Feilchenfeldによる「ワイヤレス回路用の高性能かつ低複雑性の0.18μm SiGe BiCMOS技術」(IEEE BCTM 11.3、197〜200ページ)には、単位面積当たり2倍のキャパシタンスを持ついわゆるデュアルMIMコンデンサが開示されている。
本発明の目的は、コンデンサ構成体を製造する簡単な方法、特に、高品質で高い直線性および/または高い単位面積当たりキャパシタンスを有するコンデンサ構成体を製造する簡単な方法を提供することにある。また、本発明は、このような特性を持つコンデンサ構成体を提供せんとするものである。
本発明の方法に関する目的は、請求項1記載の方法によって達成される。また、さらなる態様は、従属請求項に記載される。
本発明は、技術開発の目的は可能な限り最高の単位面積当たりキャパシタンスを提供することにあるという考えに基づいたものである。これは、例えば、できるだけ高い誘電率を持つ誘電体を選択することにより達成することができる。しかしながら、最小許容厚さおよび、従って、単位面積当たり最大キャパシタンスは、実用寿命および絶縁耐力に関して製品に求められる条件によって決まる。一例として、厚さ約45nmの窒化シリコンSiN膜の場合、製品の実用寿命15年、総表面積2.3mm、動作電圧3.6Vで約1.30fF/μm(フェムトファラド/平方マイクロメートル)のキャパシタンスが達成される。さらに厚さを減じると、製品使用の基礎としている15年の寿命を待たずして故障に至ることもあり、故に、膜厚をこれ以上薄くすることは不可能である。全キャパシタンスが大きくするには、それだけ製品内部の面積を大きくすることが要求され、従ってそれだけチップ価格が高くなる。
他方、本発明は、各固の電極をパターニングすることに伴う費用が、2つのメタライゼーション層間に配設する電極数を増やすことを妨げる要因になっているという考えに立つものである。この費用は、さらに何らかの対策が取られない限り、電極数に比例して増大する。
したがって、本発明の方法では、冒頭に述べた方法ステップに加えて、ベース誘電体層とカバー電極層との間に少なくとも1つの中心電極層およびカバー誘電体層を配設する。これらのカバー電極層および中心電極層は、第1のリソグラフィ処理を用いてパターニングする。次に、プレパターニングしたカバー電極層およびベース電極層を第2のリソグラフィ処理を用いてパターニングする。
したがって、本発明の方法は、3つの電極に対してリソグラフィ処理が2つしか要らない。これによって、電極数とコンデンサ構成体をパターニングするのに必要なリソグラフィ処理の数との間の正比例様関係は解消される。その結果、このプロセスに伴うリソグラフィおよびエッチングステップの数が著しく少なくなる。コンデンサ構成体で互いに重ねられる電極の数が多くなればなるほど、この効果はより明確になる。
本発明による方法の基本的考え方は、まず、必要な電極用の電極層を有する積層構造を作り出すということである。そして、積層構造の上部、例えば、2つの上部電極層、あるいは2つ以上の電極層よりなる上部積層構造を第1のリソグラフィ処理でパターニングする。次に、上部積層構造中の両方の電極層、すなわち第1のリソグラフィ処理を用いてパターニング済みの電極層と、第1のリソグラフィ処理ではパターニングされなかった電極層とを第2のリソグラフィ処理で同時にパターニングする。
本発明の方法の一実施態様においては、ベース誘電体層とカバー電極層との間に少なくとも2つの中心電極層からなる積層構造が形成される。中心電極層を形成した後、次の中心電極層を形成する前に、その都度それらの隣接する中心電極層の間に配設する形で中心誘電体層を形成する。したがって、この実施態様の最も簡単な場合では、コンデンサ構成体は少なくとも4つの電極を持つことになる。各中心電極はその上下にキャパシタンスを得るために使用される。一例として、5つの電極を使用すると、そのコンデンサ構成体のキャパシタンスは3電極のコンデンサ構成と比べて倍になり、他方3電極のコンデンサ構成体は、2電極のコンデンサ構成体と比べてキャパシタンスまたは単位面積当たりキャパシタンスが倍になる。また、例えば、5電極のコンデンサ構成体を製造するのに必要なリソグラフィ処理は3つだけである。
本発明の方法のさらに他の実施態様においては、第1のリソグラフィ処理時に、カバー電極層と中心電極層との間に配設する少なくとも1つの電極層をカバー電極層と共にパターニングする。その上、第1のリソグラフィ処理時に、中心電極層とベース電極層との間に配設する少なくとも1つの電極層、例えば中心電極層と接触する電極層を中心電極層と共にパターニングする。しかしながら、ベース電極層自体は第1のリソグラフィ処理時にはパターニングしない。
この実施態様では、第2のリソグラフィ処理時に、カバー電極層およびカバー電極層と中心電極層との間に配設する電極層をパターニングする。ベース電極層およびベース電極層と中心電極との間に配設する少なくとも1つの電極層もこの第2のリソグラフィ処理時にパターニングする。この場合、ベース電極層とは別にパターニングする電極層も第1のリソグラフィ処理ではパターニングされていない。
この実施態様では、コンデンサ構成体は少なくとも6つの電極を含む。各々他の電極とは異なる電極形状を持つ6つの電極をパターニングするのに要するリソグラフィ処理は、僅か3つである。しかしながら、同じ方法を用いて、さらに多くの電極、例えば9電極を有するコンデンサ構成体を製造することもできる。9電極の場合は、各電極の個別のパターニングに必要なリソグラフィ処理が4つで済む。
さらにもう一つの実施態様においては、積層構造の少なくとも2つの非隣接の電極層をパターニングする第3のリソグラフィ処理を、初めの2つのリソグラフィ処理の後に行う。しかしながら、第3のリソグラフィ処理でパターニングするこれらの電極層間にある電極層は、第3のリソグラフィ処理ではパターニングしない。これらの措置によって、はじめの2つのリソグラフィ処理を用いて作製された階段状構成をさらに改善することが可能である。
本発明の方法のさらにもう一つの実施態様においては、リソグラフィ処理を行っているとき、そのリソグラフィ処理で最後にエッチングした電極層の下にある少なくとも1つ誘電体層でエッチングを止める。一つの構成においては、全エッチングをドライ化学手段または化学−物理手段、例えばプラズマプロセスまたはRIE(反応性イオンエッチング)プロセスを用いて行う。この措置によって、誘電体を電極の端縁領域で過度に損傷するのを確実に防ぐことができる。誘電体の損傷、特に電極の端縁領域における損傷は、コンデンサ構成体の直線性および品質の面で著しい制約につながる。一例として、これらの領域で電圧放電が起こり得る。
別の実施態様においては、リソグラフィ処理を行っているとき、エッチングはそのリソグラフィ処理で最後にエッチングした電極で止める。この電極の残りの部分は、ウェット化学手段でエッチングする。誘電体は、ウェット化学エッチングではドライ化学エッチングほど強くは侵食されない。
本発明の方法のさらにもう一つの実施態様においては、リソグラフィ処理で最後にパターニングされた電極に近接して一部エッチングされているかまたはエッチストップとしての役割を果たす誘電体層の領域は、次に続くリソグラフィ処理でレジストにより被覆されるので、誘電体はこれらの領域ではそれ以上損傷しない。リソグラフィ処理で突っ切り状にエッチングされた(durchaetzt)誘電体に近接する電極層の端縁領域は、次のリソグラフィ処理で取り除かれる。その結果、損傷した誘電体は、その後、コンデンサ構成体にとって全く電気的作用を有しない。
さらにもう一つの実施態様においては、コンデンサ構成体の積層構造は、積層方向、すなわちウェーハ表面に直角な方向に一直線をなす電極端縁がない。この措置の結果、電極の外端縁で突っ切り状にエッチングされた形の誘電体層はなくなる。
本発明の方法のもう一つの実施態様においては、1つ置きの電極の電極接続部が積層構造の片側に配設される。これに対して、他の電極の電極接続部は積層構造のもう一方側に配設される。この措置の結果、過度に分岐したインターコネクトがなくなり、メタライゼーション層におけるコンデンサ構成体の配線が非常に単純になる。
さらにもう一つの実施態様においては、積層構造の電極を同じ層厚さを用いて作製する。これによって、積層構造の高さを積層構造内に種々異なる厚さの層がある場合に比べて低くすることができる。
他方、別の実施態様においては、他の電極より前にパターニングされた電極が該他の電極より厚くなるように設計する。他より厚いこの電極は、好ましくはカバー電極である。異なる厚さの電極を使用すると、積層構造のパターニングにおけるプロセスウィンドウを大きくすることが可能になる。
さらにもう一つの実施態様においては、電極接続部を電極の少なくとも一方、少なくとも二方、少なくとも三方、あるいは少なくとも四方に整列させて設ける。これによって、接続抵抗を小さくすることが可能となり、高品質のコンデンサ構成体が達成される。
さらにもう一つの実施態様においては、電極層、好ましくはカバー電極層を複数の部分電極に分けてパターニングする。これらの部分電極は、コンデンサ構成体のキャパシタンスを増加させるために互いに接続することができるように、接続を構成する。この種のいわゆるモデルキャパシタンスは、例えば、デュアルバンドまたはトリプルバンドの移動通信回路で使用することができる。
また、本発明は、下記の構成要素を記載順に具有するコンデンサ構成体に関するものである:
−ベース電極、
−ベース誘電体、
−少なくとも2つの中心電極、
−カバー誘電体、および
−カバー電極。
一実施態様では、コンデンサ構成体は、3つの中心電極、5つの中心電極または7つの中心電極を具有する。本発明の方法に関連して説明した効果は、コンデンサ構成体およびその実施態様についても当てはまる。
コンデンサ構成体は、高周波製品におけるターゲットキャパシタンスに適応するべく使用することができる。例えば、コンデンサ構成体は、GSM(Global System for Mobile Communication(移動通信のためのグローバルシステム))、UMTS(Universal Mobile Telecommunication system(汎用移動通信システム))関連、特に携帯電話で使用され、また、WLAN(Wireless Local Area Networks(ワイヤレス・ローカルエリア・ネットワーク))で使用される。一例として、コンデンサ構成体中に存在する別途のキャパシタンスを、コンデンサ構成体中に存在する主キャパシタンスに回路的に接続するか、あるいは主キャパシタンスから切り離す。
本発明のコンデンサ構成体に基づいてチップサイズを著しく小さくすることができる。通常高周波製品中でコンデンサ構成体が占める部分の割合は、従来例えば50%であった。チップにおけるこれらの部分およびその直近の周辺は、フィードバックおよびノイズ導入(injection)が生じるため、能動素子を配設するには不適である。そのため、本発明のコンデンサ構成体によりもたらされる表面積の減少は、大幅なチップ面積の節減につながる。
本発明のコンデンサ構成体は、コンデンサ構成体を接続する、あるいは電子素子を接続するために用いられるインターコネクトを含む2つのメタライゼーション層間に配設される。しかしながら、本発明のコンデンサ構成体は、3つ以上のメタライゼーション層間に、特に互いに上下に正確に、かつ互いに電気的接続関係をもって配設することも可能である。
コンデンサ構成体を具有する集積回路構成体
第2の態様によれば、本発明は、例えば電子素子の多数の能動領域を含む半導体基板よりなる集積回路構成体に関するものである。半導体基板は、例えば、シリコンチップである。能動領域とは、例えば、電界効果トランジスのチャンネル領域またはバイポーラトランジスタの能動領域である。
多数の電子素子の故に、集積回路構成体には、絶縁中間層によって互いに分離された少なくとも3つのメタライゼーション層を設ける。メタライゼーション層は、通常平面内に広がる。メタライゼーション層は、電子素子を接続するのに用いられるインターコネクトを含む。
さらに、集積回路構成体は、メタライゼーション層に対して横断方向、すなわち半導体基板に対して直角な方向に配設された導電性コンタクト部を含む。これらのコンタクト部はビアとしても知られている。
専門論文、とりわけ、N.Feilchenfeldによる「ワイヤレス回路用の高性能かつ低複雑性の0.18μm SiGe BiCMOS技術」(IEEE BCTM 11.3、197〜200ページ)には、単位面積当たり2倍のキャパシタンスを持ついわゆるデュアルMIM(金属-絶縁体-金属)コンデンサが開示されている。3つの電極を持つ周知のMIMコンデンサが、2つのメタライゼーション層間に配設されている。
本発明の目的は、コンデンサ構成体、特に高い単位面積当たりキャパシタンス、高品質および高い直線性を持つコンデンサ構成体を有する簡単な構造の回路構成体を提供することにある。さらに、本発明は、本発明による少なくとも1つのコンデンサ構成体を含むコンデンサ構成体を提供せんとするものである。
本発明の回路構成体に関する目的は、請求項15記載の方法によって達成される。また、さらなる態様は、従属請求項に記載する。
本発明のこの第2の態様は、もっぱら高誘電率と最小層厚さを持つ誘電体によってしか達成されない単位面積当たりキャパシタンスの増加は、もはや限界に達しているというに考えに基づいたものである。さらに、本発明のこの第2の態様は、2つのメタライゼーション層間に2つ以上の電極を持つMIMコンデンサを用いても、すぐに電極数の限界に達するという考えに基づいている。例えば、異なるメタライゼーション層間の距離は1μmしかない。
したがって、本発明の回路構成体は、コンタクト部を介して接続されて互いに入り組んだ2枚のコンデンサプレートを形成する電極を用いたコンデンサ構成体よりなる。これらのコンデンサ構成体の電極は少なくとも2つの中間層に配設する。言い換えると、本発明のコンデンサ構成体は、複数の中間層、あるいはまた複数のメタライゼーション層にわたって広がる。
この措置の結果、従来慣用されてきた構成を用いて達成される単位面積当たりキャパシタンスを、例えば、5つの中間層を設けた場合、1中間層当たり1電極しかなくても、シングルMIMコンデンサに比べて最大5倍まで大きくすることができる。3つ、さらにはそれ以上の電極を中間層に配設すると、単位面積当たりキャパシタンスは、例えば5つの中間層を設けた場合、10倍に増加する。単位面積当たりキャパシタンスが増加すると、所定のキャパシタンスのコンデンサ構成体に必要なチップ面積が減少する。
さらに、発明のコンデンサ構成体は、任意態様として、同じレイアウトを用いて中間層当たり少なくとも1つの電極を作製することが可能である。これによって、マスクを現像するのに必要な余分の費用が低減される。
本発明の回路構成体のもう一つの長所は、コンデンサ構成体の各電極を、簡単な形でかつ高密度のコンタクトホールによりチップ面積をほとんど必要とせずに、電気的に接続できることである。これによって、高品質のキャパシタンスがもたらされる。さらに、電極の電圧または極性に対するキャパシタンスの従属度が小さくなる。これは、例えば、諸移動通信用途またはワイヤレスローカルエリアネットワークなどの高周波製品にとって極めて重要な長所である。
本発明の回路構成体の一実施態様においては、コンデンサ構成体の少なくとも1つの電極または部分電極をメタライゼーション層に配設する。これによって、コンデンサ構成体で互いに上下に配設される電極数を簡単に増やすことができる。
一つの構成では、コンデンサ構成体の少なくとも1つの電極が、メタライゼーション層にある部分電極と2つのメタライゼーション層間にある部分電極とを含む。これら2つの部分電極は、少なくとも1つのコンタクト部、好ましくは複数のコンタクト部を介して互いに電気的に接続する。この措置の結果、部分電極よりなる電極が中間層でコンデンサ構成体にとって不必要な間隙を橋絡する。これによって、中間層に中間層より高さが低い電極積層を含むコンデンサ構成体を簡単に作製することが可能になる。
もう一つの実施態様においては、コンデンサ構成体の電極を、少なくとも3つの中間層ないしは3つ以上の中間層に配設する。コンデンサ構成体に作り込まれる中間層が多いほど、単位面積当たりキャパシタンスは大きくなり、マスクレイアウトまたはマスクレイアウトのパーツを再利用できる回数も多くなる。
さらにもう一つの実施態様においては、中間層に配設する少なくとも1つの電極が別の中間層に配設する電極と同じ輪郭形状を有する。これらの措置によって、この電極用のマスクの部分レイアウトを回路構成体の製造において何回も再利用することが可能になる。
さらにもう一つの実施態様においては、同じ輪郭形状を持つ電極を互いに正確に上下に、すなわちコンデンサ構成体を支える基板表面、例えば半導体基板に直角な方向に端縁全体が互いに一直線となるように配設する。マスクのパーシャルレイアウトデータを引き継ぐならば、この措置により電極の輪郭形状のみならず、層面内における位置も維持することが可能になる。
さらにもう一つの実施態様においては、少なくとも2つの電極または少なくとも3つの電極を2つのメタライゼーション層間に配設する。本願明細書の記載において、電極という用語は、別途明記しない限り、部分電極をも意味する。
一実施態様においては、コンデンサ構成体に、少なくとも3つの相続く電極を、その相続く電極の数より少ない回数のリソグラフィ処理を用いてパターニングすることにより設ける。一例として、2つの上部電極を第1のリソグラフィ処理でパターニングし、最上部および最下部の電極を第2のリソグラフィ処理でパターニングするならば、3つの電極を2回のリソグラフィ処理だけでパターニングすることができる。この措置によって、マスクの必要数が減り、また、適切ならば、それらの少ないマスクを複数の中間層で使用することができるので、マスクの費用がさらに節減される。
さらにもう一つの実施態様においては、各電極を複数のコンタクト部によって接続する。この措置により接触抵抗が小さくなる。コンデンサの品質およびその直線性が向上する。
一つの構成においては、中間層にある少なくとも1つの部分電極のコンタクト面が、この部分電極の底表面積の30%(パーセント)以上あるいは50%以上をなす。これらの部分電極は、コンデンサ構成体内で、非常に大きなコンタクト面によりチップ面積をさらに増やすことなく接続することができる。
さらにもう一つの実施態様においては、部分電極ではない少なくとも1つの他の電極の接続用のコンタクト面が上記部分電極のコンタクト面と同じ大きさを持つ。好ましくは、コンデンサ構成体のすべての電極を同じコンタクトを介して接続する。これによって、直線性が向上する。電圧や極性への従属性が低くなるので、このコンデンサ構成体は、特に高周波用途、すなわち高キロヘルツ域、さらにはメガヘルツ域でコンデンサ構成体に対する電荷反転動作を伴う用途に好適である。
さらにもう一つの実施態様においては、メタライゼーション層の金属部を銅、アルミニウム、銅合金またはアルミニウム合金で形成する。メタライゼーション層の厚さは100nm以上または150nm以上である。一例として、厚さ500nmのメタライゼーション層も使用する。
メタライゼーション層の金属部、特に電極または部分電極は、2つの面、すなわち上下の面で接続することができる。これに対して、中間層の電極はその上面でのみ接続を取る。
一つの構成においては、中間層の電極材料として金属または合金を使用する。特に、例えば、窒化チタン、窒化タンタルあるいは窒化タングステンのような金属窒化物を使用すると、中間層の電極を非常に薄くすることができる。一実施態様においては、中間層の電極は100nmより薄く、さらには60nmより薄く、例えば45nmである。このような薄い電極層を使用すると、コンデンサ構成体の高さ低く保つことが可能になる。このことは、特に、1中間層あたり2つ以上の電極を形成する場合に言える。
一つの構成においては、電極間の誘電体は酸化物、特に二酸化シリコンである。ただし、代わりに窒化物、例えば、窒化シリコンも使用する。誘電体材料製の二重膜層あるいは多層膜層も使用する。
さらに、本発明はコンデンサ構成体、すなわち組をなす少なくとも2つコンデンサ構成体に関するものである。これらのコンデンサ構成体は、コンタクト部の位置を画定する幾何学的設計とは別に、同じ幾何学的設計に基づいて作製されている。例えば、特に中間層の電極は両方のコンデンサ構成体共同じである。これらのコンデンサ構成体の少なくとも1つは、本発明によるコンデンサ構成体またはその実施態様と同様にして構成する。さらに、電極の接続用の少なくとも1つのコンタクト部が一方のコンデンサ構成体にあり、他方のコンデンサ構成体には存在せず、すなわち他方のコンデンサには欠けており、そのため、該他方のコンデンサ構成体中の少なくとも1つの電極は接続されない。
この措置の結果、他の点では同じ製造プロセスをしつつコンタクト部または複数のコンタクト部を導入し、あるいは省くことによって、異なるキャパシタンスを持つコンデンサ構成体を簡単な方法で製造することができる。
一実施態様においては、接続した電極は、一方のコンデンサ構成体に関して、接続しなかった電極が他方のコンデンサ構成体に関してある位置と同じ位置にある。
集積コンデンサ構成体を製造する方法およびその集積コンデンサ構成体
第3の態様によれば、本発明は下記のステップからなる方法に関するものである:
−誘電体層を作製するステップ、
−誘電体層上に電極層を作製するステップ、
−化学ドライエッチングプロセスまたは化学−物理ドライエッチングプロセスを用いて電極層をパターニングするステップ。
本発明の目的は、コンデンサ構成体を製造する簡単な方法、特に、高品質で高い直線性を有する共にキャパシタンス公差が小さくかつ実用寿命が長いコンデンサ構成体を製造する簡単な方法を提供することにある。特に、本発明は、コンデンサ構成体よりなる集積回路構成体を高歩留まりで製造することを可能ならしめようとするものである。さらに、集積コンデンサ構成体を提供せんとするものである。
本発明の方法に関する目的は、請求項26記載の方法によって達成される。また、さらなる態様は、従属請求項に記載する。
本発明は、金属−絶縁体−金属コンデンサ、すなわちMIMCAPとして知られるコンデンサについて行った実用寿命試験で、コンデンサの品質は金属電極のパターニング直接影響され、特にプラズマエッチングの場合その傾向が強いことが実証されているということ基づくものである。最適に適合するプラズマエッチングプロセスによりコンデンサ構成体の実用寿命が著しく改善されるにしても、その場合、エッチングプロセスのためのプロセスウィンドウが損なわれることになろう。これは、誘電体を損傷する金属エッチングを少なくし、それに応じて誘電体の品質を向上させると、製品故障の危険度、特に電極の金属残留物によって誘起される短絡に起因する故障の危険度も高まる。言い換えると、オーバーエッチングのレベルが低いほど、コンデンサ構成体の実用寿命は長くなる。
しかしながら、他方では、実際の半導体ウェーハは理想的に平坦な訳ではなく、位相幾何学的に生じる凹凸がある。さらに、電極層の厚さは変動し、そのために、電極層の厚さをエッチング速度で割って算出される「理論上の」エッチング時間では、誘電体上に金属残留物が生じることにもなる。その結果、例えば、近接のコンデンサ構成体間またはビアとの間に短絡が生じる。その後の電極のパターニング時には、マスク効果も起こる。さらに、電極の端縁にあってドライエッチングプロセスにより既にプレパターニングされ、そのプレパターニングされた端縁の外に突出する残留物は高度に破壊的であり、特にコンデンサのキャパシタンスに関して達成できる製造公差との関係では破壊的である。
さらに、本発明は、直角な優先方向、すなわち能動領域を持つ半導体基板表面と直交する方向の優先方向による「ターゲット」エッチングを使用して金属電極をパターニングするという考えに基づいている。しかしながら、エッチングはすべて等方成分、この場合は横方向成分を持つ。電極の直角方向パターニングに加えて、誘電体と電極との間の境界層では横方向のエッチング侵食も起こる。動作時には、これらの部位に電圧ピークが生じて、永久的な製品故障に至る。しかしながら、ドライエッチングの横方向成分は、異方性のウェットエッチングの横方向成分と比較して小さい。
したがって、本発明の方法においては、電極層を、誘電体層にほんの僅かな残留物が生じるまで、強い等方性が確保されるドライエッチング法を用いてパターニングする。次に、これらの残留物をウェット化学手段、例えば、ウェット化学エッチングプロセスまたはクリーニングステップで除去する。
この措置の結果、電極層のパターニング時、特にドライエッチング時、誘電体はエッチングされないか、またはコンデンサ構成体の電気特性に対する影響に関して無視できる程度にほんの部分的にしかエッチングされない。ドライエッチング時に残留物が適切な位置に置かれ、その後ウェット化学手段によって除去されることによって、確実に製造を高歩留まりで行うことができ、しかも他方で長い実用寿命が達成される。ウェット化学エッチングステップは、残留物の厚さ薄いため、非常に短くすることができ、例えば、持続時間30秒以内とすることが可能であるその結果、ウェット化学処理法の異方性は許容可能な範囲にとどまる。
あるいは、ドライエッチング時に侵食された領域には電極層の薄い無孔質連続層が残り、ドライエッチングプロセスで既に薄くなったこれらの電極層の領域をウェット化学エッチングで取り除く。この場合、誘電体はドライエッチングプロセスによって損傷されない。例えば、電極層は、ウェットエッチング前に2nm(ナノメートル)または3nmの厚さまでエッチングする。
本発明の方法の一実施態様においては、ウェット化学法による残留物の除去を誘電体層の材料との関係で選択性をもって、好ましくは4:1以上または10:1以上の選択性をもって行う。この措置の結果、残留物除去時に誘電体が損傷することはなくなる。特に、これによって、敏感な電極端縁の近傍における誘電体の損傷が防がれる。ウェットエッチングは、ドライエッチング、特に化学−物理ドライエッチングと比較して非常に高い選択性を持つ。例えば、ウェットエッチングまたはウェットクリーニングステップの場合、少なくとも100:1または150:1の選択性が可能である。
本発明の方法のさらにもう一つの実施態様においては、電極層を時間制御によってエッチングする。したがって、誘電体の部分エッチングが必要な終点検知法は用いない。とくに、非常に薄い電極層の場合、例えば、厚さが100nm以下または60nm以下の電極層の場合、エッチング時間は、例えば、プロセスエンジニアリング手段によって非常に正確に設定できる層厚を、エッチング速度で割ることでこの場合も有効に用いられる直線関係基づいて容易に計算することができる。エッチング速度は、例えば経験的にあらかじめ求められ、上記の2番目の態様の場合、電極層のみをエッチングするために、このようにして算出したエッチング時間を数秒短くする。
電極層は、例えば、金属窒化物、特に窒化チタン、窒化タングステンまたは窒化タンタルよりなる。これらの材料は十分に良好な導電性を持ち、かつ妥当な水準の費用で非常に薄い層厚さに堆積することができる。
さらにもう一つの実施態様においては、電極層は窒化チタンで形成される。例えば、窒化チタンは、三フッ化窒素NFまたは六フッ化硫黄SFを用いてドライエッチングすることができる。一実施態様においては、例えば、過酸化水素H、アンモニア水NHおよび水HOを含む塩基性水溶液をウェットクリーニングまたはウェットエッチングに使用する。過酸化水素Hは、形成されるTiOを溶かす酸化剤として作用する。あるいは、酸、特に硝酸HNOとフッ化水素酸HFの溶液もウェットクリーニングに使用される。
一実施態様においては、誘電体層は窒化シリコンまたは二酸化シリコンを含む。しかしながら、その他の誘電体、例えば比誘電率が8より大きい誘電体も使用することができる。高い単位面積当たりキャパシタンスは非常に薄い誘電体によってのみ達成することができるが、厚さは、高製造歩留まりを達成するためには、最小厚さ以下に減じることはできない。
本発明のもう一つの実施態様においては、誘電体層は、ウェット化学法による除去後、特に化学エッチング法または化学−物理エッチング法を用いてパターニングする。別の態様として、または、さらに、誘電体層は、電極から距離を置いて、特に5nm以上、または50nm以上あるいは100nm以上の距離を置いてパターニングし、これによって電極の端縁近傍における高品質誘電体の損傷が回避されるようにする。
もう一つの実施態様においては、電極層は、オーバーエッチング時間を6秒以下または3秒以下、好ましくは0秒として、ドライエッチングプロセスによりオーバーエッチングしないか、あるいはほんの僅かだけオーバーエッチングする。オーバーエッチング時間が短いほど、コンデンサ構成体の実用寿命は長くなる。
もう一つの実施態様においては、ドライエッチング時および特にウェットエッチング時における電極の部分的横方向エッチングを、電極をパターニングするためのリソグラフィ処理で使用するマスクの予備寸法によって補償する。
一実施態様においては、本発明の方法またはその実施態様の1つを使用することによって、通常の使用条件下における実用寿命が少なくとも7年あるいは少なくとも10年の回路構成体を製造する。回路構成体の実用寿命は、ほぼ全面的にコンデンサ構成体の実用寿命によって決まる。
さらに、本発明は、本発明の方法により製造された集積コンデンサ構成体に関するものである。コンデンサ構成体の誘電体層の電極で被覆されていない少なくとも1つの領域における厚さは、電極の下にある誘電体層の厚さに対して5nm以下または1nm以下の偏差がある。さらに、誘電体層の電極で被覆されていない領域には、電極を作製するためにパターニングした電極層の残留物がない。これらの特徴の故に、本発明の方法またはその実施態様の技術的効果は、本発明によるコンデンサ構成体も適用される。
一実施態様においては、電極に近接した断面の境界は、電極から少なくとも3nm(ナノメートル)または少なくとも10nmの距離にある。さらに、この断面は少なくとも5nmの幅を有する。誘電体層の厚さは、例えば、断面内でせいぜい1nmのばらつきしかない。
コンデンサ構成体のもう一つの実施態様においては、誘電体層は、これを貫通するビアとして知られる少なくとも1つのコンタクト部または多数のコンタクト部を有する。これは、特に誘電体層の直下にある電極に上から接続を取る場合である。
以下、本発明の実施例について、図面を参照しつつ詳細に説明する。
図1は、誘電体層12上に設けられたコンデンサ構成体10の製造における中間段階を示す。誘電体層12は、集積回路構成体の内部におけるメタライゼーション層の種々のインターコネクト間に誘電体を形成する。一例として、誘電体層21は、厚さが300nmの二酸化シリコン膜からなる。
誘電体層12には、例えばスパッタリングによってベース電極層を提供する。この実施例においては、電極層はすべて窒化チタンTiNからなる。これらの電極層は、各々例えば50nmの厚さを持つ。
ベース電極層14が形成された後、その上にベース誘電体層16が提供される。ベース誘電体層16は、例えば窒化シリコンSiNからなり、例えば気相から体積される。この実施例では、ベース誘電体層16の厚さはやはり50nmである。
ベース誘電体層16が体積された後、窒化チタンTiNからなる中心電極層18を体積するが、この被膜の厚さもやはり50nmである。次に、窒化シリコンからなるカバー誘電体層20を50nmの厚さに体積する。次に、窒化チタンからなるカバー電極層22を50nmの厚さに形成する。厚さ50nmの窒化シリコン層23を層14乃至22を含む積層構造24の最後の層として形成する。積層構造24の各層は、誘電体層12を支えるウェーハ(図1には示していない)の表面と平行である。
フォトレジスト層26を積層構造24上に提供し、フォトマスクのパターンに従って露光する。露光したフォトレジスト層26を現像すると、積層構造24上にフォトレジスト層の領域26aが残る。
次に、図2に示すように、ドライエッチングプロセスを用いて積層構造24をエッチングするが、その場合、フォトレジスト層の領域26aに従ってまず窒化シリコン層23をパターニングし、その後カバー電極層22、カバー誘電体層20および中心電極層18の順にパターニングする。その結果、プレパターニングされたカバー電極22a、カバー誘電体20aおよび中心電極18aが得られる。中心電極18aのドライエッチングはベース誘電体層16に関して選択性を示すので、ベース誘電体層16はエッチングによってはほとんど侵食されない。図では、エッチングによる侵食は大きく拡大して描いてある。誘電体層23aおよびカバー誘電体層20は、エッチング条件を変えることなくエッチングするか、あるいはエッチング条件を変えてエッチングする。
このエッチングプロセスは、例えば下記のように分けられる:
1.誘電体層23の時間制御エッチング
2.カバー誘電体層20に関して高度の選択性を持つエッチング法によるカバー電極層18のエッチング。この終点を、エッチングを終了するため、またプロセス制御のために把握する。
3.中心電極層18に関して非選択性であるカバー誘電体層20の非選択性時間制御エッチングを行う。
4.次に、終点検出を行いつつ、再度高度の選択性を持つエッチング法によってベース誘電体層16のエッチングを行う。
この手順によれば、十分に広いプロセスウィンドウが確保される。
さらに図2に示すように、既にプレパターニングされた積層構造24aおよびベース誘電体層16の露出した領域にフォトレジスト層50を提供する。そして、フォトレジスト層50を第2のフォトマスクに従って露光し、現像する。この現像ステップの後には、フォトレジスト層50のフォトレジスト領域52〜58が残る。フォトレジスト領域52は、ベース誘電体層16上に位置すると共に積層構造24aの左側面に接し、この後形成されるベース電極が中心電極18aを超えてコンデンサ構成体10の左側に突出する限界を定める。フォトレジスト領域54は、既にプレパターニングされた誘電体層23aの中心領域上に位置する。このフォトレジスト領域54はカバー電極の位置を定めると共に、中心電極18aが形成後のカバー電極より突出する限界を定める。
フォトレジスト領域56は、積層構造24aの右側のベース誘電体層16上に位置する。フォトレジスト領域56は、ベース誘電体層16の端部がエッチングによってそれ以上侵食されないように保護する。さらに、フォトレジスト領域56は、この後形成されるベース電極が中心電極18aより積層構造の右側へ突出する限界を定める。
フォトレジスト領域58は、凹所62によってフォトレジスト領域56と隔てられ、ベース電極層16中のインターコネクトの構造を画定する役割を果たす。このインターコネクトは、コンデンサ構成体10の一部を形成するためのものではない。
次に、プレパターニングしたカバー電極52aおよびベース電極層14をドライエッチングプロセスによってエッチングする。この場合、誘電体に関する金属エッチングの選択性を利用して次の層順にターゲット方式でエッチングする:
−プレパターニングした誘電体層23aの時間制御エッチング、
−プレパターニングしたカバー電極22aのエッチング、プレパターニングしたカバー誘電体20aの内部で終わる。
この場合、ベース誘電体層16およびベース電極層14を同時にパターニングする。
適切ならば、確実に誘電体層12に達するようにターゲットオーバーエッチングを行う。プロセスウィンドウのサイズを大きくするためのもう一つの態様を後ほど図5A乃至5Cを参照してより詳細に説明する。
図1および図2を参照して上に説明した方法では、些細な差異以外は図3に示すコンデンサ構成体110と同等なコンデンサ構成体10が得られる。コンデンサ構成体10の構成部分と同じコンデンサ構成体110の構成部分は、図1および2の対応する参照符号の冒頭に数字1を付して示してある。例えば、ベース電極は参照符号114aで示す。図3には、フォトレジスト領域58対応するフォトレジスト領域によりパターニングされたインターコネクト114bが同様に図示されている。
ベース電極114a上には、積層構造124bを形成するのに用いたエッチングによってはほんの僅かしか侵食されていないベース誘電体層116aが存在する。インターコネクト114bの上には、誘電体層116aを形成するのと同じ誘電体層の残りの領域116bが存在する。
積層構造124bのパターニング時には、カバー電極122bおよび中心電極118aが、左端を半導体ウェーハに直角な方向において一直線に(fluchtend)形成される。これは、現像ステップ後、誘電体層123aの左端まで誘電体層123aのすべてを被覆するフォトレジストにより達成される(図2の破線130を参照)。あるいは、フォトレジスト領域を短くすることによって、カバー誘電体120aの端部に損傷があっても、カバー電極122bの左側の部分を短くすることにより回路的には無害となるようなパターニングを達成することが可能である(図2の破線132を参照)。
次に、図3に示す断面図から明らかなように、例えば二酸化シリコンの誘電体層140が積層構造124b上に形成する。誘電体層140は、メタライゼーション層142と144との間に誘電体を形成する。メタライゼーション層142は、例えば、ベース電極114aおよびインターコネクト114bを含む。とりわけ、メタライゼーション層144は、例えばアルミニウムで形成されたインターコネクト150、152および154を含む。この実施例では、メタライゼーション層144の厚さはメタライゼーション層142の厚さより大きい。
インターコネクト150は、ベース電極114aとカバー電極122bを電気的に接続するために用いられる。3つのビア充填材160〜164が、インターコネクト150からベース電極114aへ通っている。これらのビア充填材160〜164は、ベース電極114aの左側部分全体にわたる3列のビア充填材に属する。
カバー電極122bの全体にわたる3列のビア充填材の一部を成す3つのビア充填材170〜174は、インターコネクト150からカバー電極122bへ通じている。
中心電極118aの右側部分に沿って伸びる3列のビア充填材の一部をなす3つのビア充填材180〜184は、インターコネクト152から中心電極118aへ通じている。この右側部分はカバー電極122bで被覆されていない。
インターコネクト154からインターコネクト114bへはビア充填材190が通じている。ビア充填材160〜190用のコンタクトホールのエッチング時には、これらのビア充填材160〜190の用のコンタクトホールの底面をして積層構造124bの誘電体層または誘電体層116bを貫通させる。
その結果、コンデンサ構成体110aは並列接続された2つのコンデンサC1およびC2を持つことになる(図3の概略回路図参照)。
図4Aは切断面II(図4B参照)で切断したコンデンサ構成体220の断面図を示す。コンデンサ構成体220は、図1乃至3を参照して上に説明した方法で作製したものである。
それゆえに、コンデンサ構成体220は、内部に矩形のベース電極214a、中心電極218aおよびカバー電極222bを有する。とりわけ、上部メタライゼーション層244には、3つのインターコネクト250、252および254が存在する。インターコネクト250は、ベース電極214aとカバー電極222bを接続するために用いられる。インターコネクト252は中心電極218aとの接続のために用いられる。図4Aにおいて、切断面IIから分かるように、インターコネクト250とベース電極214aとの間にはビア充填材230が配設され、インターコネクト250とカバー電極222bとの間には3つのビア充填材232〜236が配設され、インターコネクト252と中心電極218aとの間にはビア充填材232が配設されている。インターコネクト258はメタライゼーション層242中のインターコネクトを接続するために用いられる。
図4Bは、図4Aに示す位置にある切断面Iで切断したコンデンサ構成体210の断面を平面図として示す。ベース電極214aは最も大きい面積を持つ。中心電極218aはベース電極214aより面積が小さい。カバー電極222bは中心電極218aより面積が小さい。
中心電極218aは、ベース電極214aの左端縁より右端縁の近くに寄せて配設されている。その結果、ビア充填材230をベース電極214aの下端縁、左端縁および上端縁に沿って配設することができる。
これに対して、カバー電極222bは中心電極218aの右端縁より左端縁の近くに寄せて配設されている。その結果、ビア充填材238を中心電極218aの下端縁、右端縁および上端縁に沿って配設することができる。
この実施例においては、カバー電極222bには、カバー電極222bの角隅部またはその長辺沿いの中心部に終端する6つのビア充填材234が接触している。
図4Cは、コンデンサ構成体220aの平面図である。この図には、メタライゼーション層244中のインターコネクト250および252のパスがはっきりと描かれている。また、図4Cには、コンデンサ構成体220のコンデンサC10およびC20の接続の仕方を示す概略回路図が示してある。これら2つのコンデンサC10およびC20は電気的に互いに並列に接続されている。
もう一つの実施例においては、ベース電極214aはメタライゼーション層242あるいはメタライゼーション層242の下方にあるメタライゼーション層を介して接続される。
コンタクトホールの形成時には、電極材料に関して、あるいは誘電体に関して高い選択性を示す酸化物エッチングをビア充填材に対して行う。この場合、コンタクトホールの深さは各電極で毎に異なるので、終点制御によるエッチング法を用いることが望ましい。
図5A乃至5Cは、本発明のもう一つの実施例における第2のリソグラフィ処理の中間段階を示す。コンデンサ構成体310は、近接の2つのメタライゼーション面間に誘電体を形成する、例えば二酸化シリコンを含有する誘電体層312上に形成される。窒化チタン層314、窒化シリコン層316、窒化チタン層318、窒化シリコン層320および窒化チタン層322を逐次誘電体層312に堆積して積層構造313を形成する。層314〜320はすべて、50nmまたは45nm(ナノメートル)同じ厚さを有する。他方、積層構造313の上部窒化チタン層322は、窒化チタン層318の2倍以上の厚さ、具体的には、この実施例の場合で約200nmの厚さを持つ。
上部窒化チタン層322は他の層より厚いので、積層構造313のパターニングを開始する前に、積層構造313にさらに誘電体を提供する必要がない。上部窒化チタン層322、誘電体層320および窒化チタン層318は、フォトレジスト層(図5Aでは図示省略)を利用して逐次パターニングする。ここで使用する手順は、上に図1および2を参照して説明したのと同じ手順である。特に、一つの構成においては、窒化チタン層322および318は終点検知方式を用いてエッチングする。これは、エッチングガス中の誘電体層320または316の物質の痕跡またはこの物質とエッチングガスとの固有反応生成物の痕跡を例えばスペクトル分析によって記録するということを意味する。
次に、プレパターニングした積層構造313および窒化シリコン層316の露出部分にフォトレジスト層350を提供する。フォトレジスト領域352〜356は、この順に、フォトレジスト層の領域52〜58が積層構造24aに関して配設されているのと同じ積層構造313に関する位置に配設され、露光および現像操作によって形成される。ただし、フォトレジスト領域354は、プレパターニングされた窒化チタン層322上に直接位置される。フォトレジスト層350は、積層構造313の端縁がフォトレジスト領域352および356によって完全に被覆されるように、フォトレジスト層50より厚くなるよう設計する。
フォトレジスト層350をパターニングの後に、下部窒化シリコン層316を図5Bに示すようにパターニングして、ベース誘電体316aおよび誘電体316bを形成する。このエッチングは、例えば、時間制御方式によって行う。次に、下部窒化チタン層314を、ベース電極314aおよびインターコネクト314bを形成するべくパターニングする。
このエッチングは、誘電体層312の物質またはこの物質の固有エッチング生成物をスペクトル分析によって記録する終点検知方式によって行う。フォトレジスト領域356と358との間の凹所362の底面が誘電体層312に達した時点では、窒化チタン層322は部分的にしかパターニングされていない(窒化チタン層322a参照)。詳しく言うと、プレパターニングされた窒化シリコン層320はまだ露出していない。
次に、再度選択エッチングを行って、窒化チタン層322aを完全にパターニングする。これによって、図5Cに示すように、既にプレパターニングされている窒化チタン層322aからカバー電極322bを形成する。カバー電極322bのパターニングは、エッチングガス中に誘電体層320の物質またはこの物質の固有エッチング生成物が把握されたならば、終点検知によって直ちに終了する。この時点で、凹所362の底面は既に誘電体層312中に深く入り込んでいる。さらに、誘電体層312には、フォトレジスト領域352の左側およびフォトレジスト領域356の右側にそれぞれ凹所364および366が形成される。後は、再度フォトレジスト領域352〜358を除去するだけである。
もう一つの実施例においては、窒化チタン層314、318および322の厚さが異なっており、カバー電極322bのエッチングのみ終点検知方式によって行う。しかしながら、どちらのプロセス態様においても、カバー電極322bの方がベース電極314aより肉厚である。こうすることによって、ベース電極314aは必ず、カバー電極322bが完全にパターニングされた後に完全にパターニングされることになる。特に、この場合、ベース電極314aとインターコネクト314bとの間に、窒化チタン層314からの物質の残留物が全く残らない。
図6Aは、2つの電極、すなわち下部の矩形ベース電極402およびその上方に配設された矩形カバー電極404しかない基準コンデンサ400を示す。ベース電極402とカバー電極404は互いに同心状に配設されている。ベース電極402は、長さ150μm、幅100μmである。カバー電極404は、長さ145μm、幅95μmである。その結果、この基準コンデンサ400のキャパシタンスにとっての有効表面積は145μm×95μmとなる。
図6Bに示すコンデンサ410は、ベース電極412、中心電極414、カバー電極416を有し、これらの電極の寸法は記載順に次の通りである:150μm×100μm、145μm×95μmおよび140μm×90μm。その結果、コンデンサ410のキャパシタンスにとって有効な表面積は、145μm×95μm+140μm×90μm、すなわち合計26,375μmとなる。これは、コンデンサ400と比較して90%以上の全キャパシタンスの増加に相当する。したがって、キャパシタンスを同じ大きさにするとした場合、コンデンサ41に必要な表面積は、コンデンサ400で必要な表面積と比べてほぼ半分にすることができる。図6A乃至6Cにおいては、ビアは電極と比べ拡大して描いてある。ビアの直径は、例えば、わずか0.4μmしかない。2本の隣り合うビアの端縁間の距離は、同様にわずか0.4μmである。
図6Cは、長さ150μm、幅100μmのベース電極422を持つコンデンサ420を示す。ベース電極422の上方に配設された中心電極424は、長さ145μm、幅95μmである。中心電極424の上方には、ウェーハ表面またはチップ表面と平行な平面内に3つのカバー電極426〜430が配設されている。これらのカバー電極426〜430は、各々長さ90μm、幅30μmである。コンデンサ420が必要とする表面積はコンデンサ400と同じであるが、3つのカバー電極426〜430をベース電極422と中心電極424との間の主キャパシタンスに任意に接続することができる。一例として、カバー電極を1つだけ、例えば、カバー電極426を接続することができる。しかしながら、426〜430の中の2つまたは3つ全部のカバー電極を主キャパシタンスに接続することもできる。
本発明の基本構想は、4つ以上の電極を持つコンデンサ構成体、例えば、9つの電極S1〜S9を持つコンデンサ構成体500をも含むように拡大することができる。S1〜S9の各電極間には誘電体層D1〜D8がそれぞれ配設されている。誘電体層D9は電極S9上に配設されている。以下に説明する実施例においては、電極S1〜S9および誘電体層D1〜D9は、例えば、45nmの同じ厚さを有する。これから説明する図7A乃至7Dは、パターニングする積層構造502の左側部分しか示していない。この積層構造の右側部分も左側部分と同様にしてパターニングする。
第1のリソグラフィ処理では、電極S4〜S9用の電極層および誘電体層D4〜D9をパターニングする。誘電体層D3は、エッチングストップとしての役割を持つ。誘電体層D3自体および電極S1〜S3用の電極層、また誘電体層D1およびD2は第1のリソグラフィ処理実行時にはパターニングされないまま残る。このように形成された積層構造502にフォトレジスト層504を提供する。フォトレジスト層504を第2のリソグラフィ処理でパターニングし、2つのフォトレジスト領域506および508を生じさせる。フォトレジスト領域506は誘電体層D3の露出領域の一部に位置される。左側では、フォトレジスト領域506は電極S1〜S3用の電極層のパターニングのための左端縁を画定する。右側では、フォトレジスト領域506は、電極S4およびS5用の電極層とこれらの電極層間の誘電体層D4に接触している。フォトレジスト領域508は、誘電体層D9の中心領域に位置されているので、誘電体層D9の端部は露出したままである。フォトレジスト領域508の左端縁は、電極S7〜S9用の電極層とこれらの電極層間の誘電体層D7およびD8よりなる積層構造の端縁の位置を画定する。
次に、ドライ化学エッチングプロセス、特に、高度の異方性を持つ化学−物理エッチングプロセスを行う。その結果、図7Bに示す3段(矢印522〜526で示す)の積層構造520が得られる。
図7Bに示すように、第3のリソグラフィ処理の一環としてフォトレジスト層530が提供され、露光してパターニングすることにより、4つのフォトレジスト領域532〜538を形成する。フォトレジスト領域532が積層構造520を支える誘電体501上に位置されているので、誘電体501そこからさらにパターニングされることはない。フォトレジスト領域534は積層構造520の左側部分における誘電体層D3の露出領域の右側3分の2の部分上に位置され、その右側面は電極S4およびS5用の電極層およびこれらの電極層間の誘電体層D4に接触している。フォトレジスト領域534の左側面は、次のパターニングにおける電極S2およびS3用の電極層の端縁位置を画定する。
フォトレジスト領域536は誘電体層D6上に位置されている。フォトレジスト領域536は、積層構造520の左側における誘電体層D6の露出領域の右側3分の2の部分を被覆する。フォトレジスト領域536の左端縁は、次のパターニング後における電極S5およびS6用の電極層の端縁位置を画定する。フォトレジスト領域536右端縁は、電極S5およびS8用の電極層とこれらの電極層間の誘電体層D7に接触している。
フォトレジスト領域538は、誘電体層D9の中心部に位置する。フォトレジスト領域538の左端縁は、次のパターニング後における電極S8およびS9用の電極層とこれらの電極層間の誘電体層D8の左端縁の位置を画定する。
フォトレジスト領域532〜538を用いる次のパターニングでは、化学−物理エッチング法によりターゲットエッチングを行う。エッチストップ層としての役割を持つ誘電体層D1、D4および/またはD7はすべて、実質的に同時にエッチングが到達するので、これらの層の物質によって終点検知を行う。
図7Cは、このエッチング後に誘電体層501上に現れる形の積層構造550を示す。第4のリソグラフィ処理では、積層構造550にフォトレジスト層560を提供し、露光および現像して、フォトレジスト領域562〜568を形成する。フォトレジスト領域562は、誘電体層501および誘電体層D1の露出領域を覆う。フォトレジスト領域564は、積層構造550の左側における誘電体層D3の露出領域のほぼ半分および誘電体層D4の露出部分を覆う。フォトレジスト領域566は、積層構造550の左側における誘電体層D6の露出領域の右半分および誘電体層D7の露出部分を覆う。フォトレジスト領域568は、誘電体層D9の中心部に載支される。誘電体層D9の左端縁部は無被覆のまま残る。
図7Dは次のエッチングステップの結果を示す。積層構造570はピラミッド様構造をなし、同じ高さと同じ幅の段を有する。この階段状構成のため、上方からの電極S1〜S9への接触が容易である。また、この階段状構成のために、S1〜S9の中の互いに一直線をなす2つの電極の端縁で直接誘電体層D1〜D9を貫くエッチングは行われない。そのために、コンデンサ500の絶縁耐力は非常に高い。
図8は、やはり9個の電極を持つコンデンサ構成体600を示す。ただし、このコンデンサ構成体600は、左側の段部における電極S1、S3、S5、S7およびS9しか接続されない、すなわち一つおきの電極のみ接続されるように非対称状にパターニングされる。これに対して、コンデンサ構成体600の右側では、電極S2、S4、S6およびS8と接続することができる。コンデンサ構成体600の作製に要する方法ステップは、コンデンサ構成体500の作製に要する方法ステップと同様である。
また、非常に大きな積層構造の異なる段のフォトレジスト露光にも、この方法が焦点深度の限度内で使用される限り何ら問題はない。例えば、焦点深度は1μmである。
もう一つの実施例においては、コンデンサ構成体の下部電極が、その間にコンデンサ構成体が配設される2つのメタライゼーション層との関係で、その下部メタライゼーション層より上方に置かれる。
さらにもう一つの実施例においては、コンデンサ構成体の下部電極は、例えばダマシンプロセスおよびその後のポリシング(研磨)ステップによって、リソグラフィ処理などの開始前に既にメタライゼーション層中に形成済みである。その後、上に説明した方法を用いてコンデンサ構成体の他の電極を作製する。
もう一つの実施例においては、コンデンサ構成体の下部電極は、この電極より下方にある少なくとも1つのメタライゼーション層を介して接続される。
図9は、半導体基板(図示省略)の上方に4つのメタライゼーション層Me1〜Me4を有するコンデンサ構成体700を示す。これらの各メタライゼーション層Me1〜Me4は、最大2%未満の銅を含有するアルミニウム合金で形成された多数のインターコネクトを有する。ただし、図9には、コンデンサ構成体700に属するメタライゼーション層Me1〜Me4のインターコネクトしか示していない。したがって、半導体基板中の能動素子接続用のインターコネクトは示されていない。
メタライゼーション層Me1〜Me4は、この順に互いに、例えば二酸化シリコンで形成された中間層ILD1〜ILD3により電気的に絶縁されている。例えば、メタライゼーション層Me1〜Me4は各々500nmの厚さを有する。Me1〜Me4の中の隣り合うメタライゼーション層間の距離は各々800nmである。
これまでの説明では、従来の層成膜法、リソグラフィ法およびパターニング法を用いてコンデンサ構成体700を作製し、そのために、ここではこれらの方法についての詳細な説明は省略する。メタライゼーション層Me1には、下部電極710が設けられている。電極710とその上方の部分電極712との間には誘電体714が配設されている。部分電極712は、厚さ45nmの窒化チタン層で形成されている。誘電体714は厚さ45nmの窒化シリコン層である。この実施例においては、コンデンサ構成体700の電極は矩形の基本域を有し、この基本域の長さは矩形の長手方向にLの長さを持つ。部分電極712の長さLは、例えば150μmである。
下部電極710および誘電体714は、部分電極712の外側に張り出している。部分電極712のパターニング時には、誘電体714はエッチストップとしての役割を果たして、ほんの僅かしかエッチングされず、その意味で、図9の図は部分的に誇張して描かれている。下部電極710は、左側の方が右側よりも部分電極712から遠くまで張り出している。その結果、メタライゼーション層Me2のインターコネクト718と電極710との間に位置するコンタクト部Via1のための接続面が形成される。コンタクト部Via1は、メタライゼーション層Me2のインターコネクト718とベース電極710との間にある一列のコンタクト部の一部である。
同様に、メタライゼーション層Me2にも、コンタクト部Via2およびVia3を介して部分電極712に接続された部分電極720が配設されている。コンタクト部Via2およびVia3は、部分電極712と720との間にある2列のビアの一部をなす。部分電極712および720はコンデンサ構成体700の中心電極をなす。
部分電極720に隣接する中間層ILD2中には、誘電体724によって部分電極720と分離された部分電極720が配設されている。部分電極722は、厚さ45nmの窒化チタン層で形成されている。一方、誘電体724は、厚さ45nmの窒化シリコン層で形成されている。部分電極722の長さはやはりLである。部分電極720および誘電体724は、右側および左側とも部分電極722の外側に張り出している。この場合も、誘電体724は部分電極722の形成に際してエッチストップとしての役割を果たし、ほんの僅かしか侵食されなかった。これらの措置のために、電極722の敏感な端部で誘電体724が損傷するのを防ぐことができる。
部分電極720は、右側の方が左側よりも部分電極722の外側に大きく張り出していて、そのために、部分電極720とメタライゼーション層Me3中のインターコネクト728との間にわたるコンタクト部Via4のための接続面が形成される。コンタクト部Via4は、やはり、インターコネクト728と部分電極720との間にある一列のコンタクト部の一部をなす。
さらに、メタライゼーション層Me3には、部分電極722と共にコンデンサ構成体700の第2の中心電極を形成する部分電極730が配設されている。部分電極722と730は、互いに2列のビアによって接続され、図9には、これらのビアのうち2つのコンタクト部Via5およびVia6が示してある。部分電極730は、中間層ILD3の部分(空所731参照)によってインターコネクト728と分離されている。部分電極722および730よりなる上部中心電極は、互いに上下に配置された2列のコンタクト部によって下部電極710と電気的に接続されている。上列のコンタクト部は部分電極730からインターコネクト718へ通じている。図9には、この上列のコンタクト部に属するコンタクト部Via7が示されている。コンタクト部Via1は下列のコンタクト部に属する。
最後に、コンデンサ構成体700は上部電極732を有し、この電極は誘電体734によって部分電極730から分離されている。部分電極732は、やはり、窒化チタンで形成され、45nmの厚さを有する。誘電体734は、窒化シリコンで形成され、厚さは45nmである。上部電極732のパターニング時には、誘電体734はエッチストップとして用いられて、ほんの僅かしかエッチングされていない(図9で部分的に誇張して描かれていることに留意)。部分電極730は、左側および右側共、誘電体734と共に上部電極732の外側に張り出している。部分電極730は、右端縁よりも左端縁の方が上部電極732の外側に大きく張り出しており、その結果、コンタクト部Via7も属する列のコンタクト部を任意に接続するための接続面が得られる。
部分電極732はやはりLの長さを有する。それぞれ中間層ILD3、ILD2およびILD1中の電極732、722および712はすべて、互いに上下に一直線に整列されており(破線736および738参照)、かつ同じ輪郭形状を有する。
上部電極732は、2列のコンタクト部を介してメタライゼーション層Me4中のインターコネクト740に接続されている。図9には、これらの2列に属するコンタクト部Via8およびVia9が示してある。1列のコンタクト部がインターコネクト740の右側からインターコネクト728へ通っている(コンタクト部Via10参照)。このようにして、上部電極732は、下部の中心電極、すなわちコンデンサ構成体700の部分電極712および720と電気的導通関係に接続されている。
インターコネクト740および部分電極730の左側部分は、これらの部分の間に総キャパシタンスCtotが形成されるようコンデンサ構成体を接続するために用いられる。
さらに、図9には、コンデンサ構成体700のコンデンサのキャパシタンスC1、C2およびC3の接続の仕方を示す概略回路図が示してある。キャパシタンスC1は、下部電極710と部分電極712により形成される。キャパシタンスC2は、部分電極720と部分電極722により形成される。キャパシタンスC3は、部分電極730と上部電極732により形成される。キャパシタンスC1〜C3は、互いに電気的に並列に接続されており、これはコンデンサ構成体700における電極の網目状構成により達成される。
もう一つの実施例においては、コンデンサ構成体700はMIMコンデンサが2つしか含まず、例えばコンデンサ構成体中にメタライゼーション層はMe1〜Me3しかない。この場合、単位面積当たりキャパシタンスは3倍にはなるのではなく、ようやく2倍程度にしかならない(概略回路図750の破線752参照)。これは、この場合、コンデンサ構成体にはキャパシタンスがC1とC2しかないためである。
さらにもう一つの実施例においては、コンデンサ構成体は4つを超えるメタライゼーション層を有する。このようにして、単位面積当たりキャパシタンスを4倍、5倍というように整数倍単位で大きくすることが可能である。例えば、メタライゼーション層Me4はメタライゼーション層Me2と同じようにパターニングすることができよう。メタライゼーション層Me4の上方には、メタライゼーション層Me5をメタライゼーション層Me3と同じようにパターニングして設けることができよう。また、下部電極710、第2の中心電極、第4の中心電極などが接続されたコンタクト部Via1、Via7などをコンデンサ構成体700の左側に互いに上下方向に配設する。第1の中心電極、第3の中心電極など、およびカバー電極を互いに接続するコンタクト部Via4、Via10などは、コンデンサ構成体の右側に配設する。
その結果として、コンデンサ構成体中でこの電極パターンが繰り返し生じる。これは、リソグラフィ法でマスクの同じ部分断面を利用できるということである。特に、中間層ILD1〜ILD3の中の電極712、722、732はすべて、同じレイアウトで作製される。
図10は、3つのメタライゼーション層802、804および806上にわたって形成されるコンデンサ構成体800を示す。メタライゼーション層802は、例えば二酸化シリコンで形成された中間層810上に位置する。中間層812は、やはり二酸化シリコンで形成され、メタライゼーション層802と804との間に配設される。同じく二酸化シリコンで形成された中間層814は、メタライゼーション層804と806との間に配設される。下部電極820から始め、順次基板から遠い方の電極に向けて処理を進めることにより、コンデンサ構成体800には下記の順序で各電極が作り込まれる:
−電極822、
−電極824、
−部分電極826、
−部分電極828、
−電極830、
−電極832、
−上部電極834。
下部電極820および部分電極828は、それぞれメタライゼーション層802および804にあり、この実施例では、5%未満の銅および/またはシリコンを含有するアルミニウム合金で形成されている。この実施例においては、メタライゼーション層802〜806の厚さは例えば500nmである。隣り合うメタライゼーション層間の距離は、この実施例では750nmである。
電極822、824、部分電極826、電極830、832および部分電極834は、厚さ各々45nmの窒化チタン膜で形成されている。
誘電体840〜852は、この順にそれぞれ電極820〜834の間に配置されている。また、誘電体854は上部電極824上に載支されている。誘電体840〜854は窒化シリコンで形成され、この実施例では、45nmの層厚さを有する。誘電体846は部分電極826に載支されている。
下部電極820、電極824、電極830および上部電極834、すなわちコンデンサ構成体800の下部電極820から始めて1つ置き毎の各電極は、左側の4つのコンタクト部Via11〜Via14によって、またメタライゼーション層804中のインターコネクト860およびメタライゼーション層806中のインターコネクト862によって互いに電気的に接続されている。右側の3つのコンタクト部Via15〜Via17およびメタライゼーション層804中の1つのインターコネクト864は、電極822、部分電極826と828よりなる電極および電極832、すなわち下部電極820に近接する電極822から始めて1つ置き毎の各電極を互いに電気的に接続する。コンタクト部Via11〜Via17は、各々、図の紙面に直角に並ぶコンタクト部の列の一部である。
電極822、誘電体842、電極824、誘電体844、部分電極826および誘電体846は、積層構造870を形成する。積層構造870の各層は、逐次堆積してから、2つのリソグラフィ処理だけでパターニングする。第1のリソグラフィ処理によって、電極824および誘電体844はパターニングし、部分電極826および誘電体846はプレパターニングする。電極822および誘電体842もこのリソグラフィ処理によってパターニングする。その上、部分電極826および誘電体846を第2のリソグラフィ処理によってパターニングする。電極828および、したがって、誘電体848をパターニングするためには、第3のリソグラフィ処理が必要である。
次に、中間層812を作製し、平坦化する。その後、コンタクト部Via11、Via12およびVia15、またコンタクト部Via18およびVia19のためのビアホールをエッチングで形成し、ビア充填材を詰める。コンタクト部Via18およびVia19は部分電極826に接続され、2本の平行なコンタクト部の列の一部をなしている。
次に、アルミニウム層および誘電体848を中間層812に提供する。誘電体848を堆積した後、電極830、誘電体850、電極832、誘電体852、上部電極834および誘電体854葉の層を含む積層構造872を形成する。積層構造872も、積層構造870で使用したのと同じ方法を用いてパターニングする。電極828および、したがって、誘電体848をパターニングするためには、さらなるリソグラフィ処理が必要である。
次に、中間層814の材料を堆積する。平坦化ステップを行った後、コンタクト部Via13、Via14、Via16およびVia17、また2つのコンタクト部Via20およびVia21用のコンタクトホールを形成する。完成後のコンデンサ構成体800では、コンタクト部Via20およびVia21はインターコネクト862と上部電極854との間に位置する。コンタクト部Via20およびVia21も、インターコネクト862と上部電極854との間にある2本のコンタクト部の列の一部を成す。
概略回路図880に、コンデンサ構成体800のキャパシタンスC1a〜C6aの接続構成が示してある。単位面積当たりキャパシタンスは、キャパシタンスC1a〜C6aからなる構成によって6倍になる。このコンデンサ構成体800でも、部分電極826と部分電極834、すなわちそれぞれ積層構造870と872の上部電極は互いに一直線に並ぶ(fluchten)(破線882および884参照)。
もう一つの実施例においては、電極824および誘電体844は積層構造870に存在しない(中括弧881a参照)。また、電極830および誘電体850も積層構造872中にはない(中括弧881b参照)。コンタクト部Via12およびVia14も省かれる。インターコネクト860は、電気的導通関係をもって部分電極828に接続されている。部分電極828の右側部分はそれ自身のインターコネクトとして設計されていて、コンタクト部Via15およびVia17に接続されている。コンタクト部Via15は、右に張り出した電極824を接続するために用いられる。
さらにもう一つの実施例においては、コンデンサ構成体700および800のアルミニウムのメタライゼーション層の代わりに、銅のメタライゼーション層を使用する。この場合、その都度最後に化学的機械研磨ステップを行うダマシンプロセスとして知られるプロセスを用いてメタライゼーション層を作製する。銅を使用する場合は、窒化チタンに代えて窒化タンタルを使用する。
アルミニウムまたは銅電極を使用する他の実施例においては、例えば、粗面度を小さくしあるいは接着性を高めるために、誘電体と電極と間の媒介層としてTiNまたはTaNライナーを使用する。
ここで説明したコンデンサ構成体は、チップ設計者のための標準コンポーネントとして設計ライブラリに保存する。したがって、設計者は、シングルMIMコンデンサ、MIMの二重コンデンサ、三重コンデンサなどの選択、あるいは複数のメタライゼーション層にわたり、複数のシングルMIMコンデンサ、二重MIMコンデンサまたは三重MIMコンデンサなど、あるいはこれらのコンデンサの組合せを含むコンデンサ構成体の選択を行うことができる。
図9および10を参照して説明したコンデンサ構成体を製造する方法によれば、とりわけ次のような効果がもたらされる:
−メタライゼーションのBEOL(ウェーハプロセスの後半部)におけるMIMコンデンサの多様な作り込み
−適切な場合における、すべてのMIMコンデンサをパターニングするための部分マスクの使用
−標準的MIMコンデンサの単位面積当たりキャパシタンスの整数倍単位の増加
−本発明で提案するコンデンサ構成体を使用することによって、キャパシタンスを高品質および高直線性でもって得ることができる。
特に、コンデンサ構成体700および800においては、例えば、突出形状の利用および/またはドライエッチングとウェットエッチングまたはウェットクリーニングの組合せなど、誘電体の端縁の損傷を回避する措置も取られる。
総キャパシタンスを減らすために一部のコンタクト部を省いた一連のコンデンサ構成体700または800を製造することも可能である。一例として、コンデンサ構成体800でコンタクト部Via11を省くことによって、キャパシタンスC1aが総キャパシタンスCに寄与しなくなるようにする。
図11Aは、半導体ウェーハ、例えばシリコンウェーハ(図示省略)を有する集積回路構成体1100を示す。例えばトランジスタなど、多数の電子的構成要素の能動的領域は半導体ウェーハ内または半導体ウェーハ上に配設されている。
能動電子素子が作り込んだ後、さらに膜層を堆積した後適切ならば、誘電体層ILDが提供される(この実施例では2つのメタライゼーション層間に設ける)。これらの2つのメタライゼーション層のうち上側の層は、誘電体層ILDに提供された窒化チタン層1102で形成されている。この実施例では、窒化チタン層1102の厚さD1は45nmである。
この窒化チタン層1102に、厚さD2が例えば100nmの誘電体層として窒化シリコン層1104が提供される。次に、厚さD3が45nmのもう一つの窒化チタン層1106が窒化シリコン層1104に提供される。
上部の窒化チタン層1106を形成した後、フォトレジスト層1110が提供される。次に、マスクを用いてフォトレジスト層1110を露光する。この露光ステップ後、フォトレジスト層1110を現像してフォトレジスト領域1112を形成する。
図11Bに示すように、次にプラズマエッチングプロセスを行って窒化チタン層1106をパターニングする。製造しようとするコンデンサ構成体のカバー電極1120の下に形成される。カバー電極1120の厚さD3は45nmである。これに対して、フォトレジスト領域1112で被覆されていない領域窒化チタン層はほぼ完全に取り除かれる。フォトレジストによって被覆されていない領域Bには窒化チタン層1106のほんの僅かな残留物1122および1124が残っている。残留物1122および1124の厚さは、例えばほんの1nmまたは2nm程度である。
プラズマエッチング時には、窒化チタン層1106は、誘電体層1104mp厚さが実質的な変化しないよう、時間制御方式でエッチングされる。ドライエッチングにより除去される誘電体層1104の物質の量は1nmより小さい。目標は、窒化チタン層1105をオーバーエッチングなしで、すなわちオーバーエッチング0s(0秒)でオーバーエッチングすることである。これは、窒化チタン層1106の物質のみを除去し、誘電体層1104からはその物質を全く除去しないということである。したがって、エッチング時間を計算する際は、窒化チタン層1106の最も薄い部分の厚さに基づいて計算しなければならない。
ドライエッチングプロセスを行ったならば、再度フォトレジスト層1110の残留物除去を行う。特に、フォトレジスト領域1112を除去する。
次に、図11Cに示すように、ウェットクリーニングステップを行って、残留物1122および1124を取り除く。ウェットクリーニングは窒化シリコン層1104の窒化シリコンとの関係で高い選択性を示すので、窒化シリコン層1104の厚さはほんの僅か、厚さD2aまでしか減少しない。
使用するウェット化学エッチング槽は、濃度35%の過酸化水素水と約28%のアンモニア水との混合溶液である。過酸化水素水とアンモニア水との容量比は20:1である。ウェット化学エッチングは、室温で行う。
この形の窒化チタンエッチングは、窒化シリコンとの関係で高い選択性を示す。ウェット化学クリーニング時には、電極1120の端縁は僅かに侵食されるが、その程度は、電極1120があらかじめ実際に必要なよりも少し大きくパターニングしてあれば、破壊的ではない。
次に、図11Dに示すように、フォトレジスト層1130を堆積し、所定のマスクに従って露光して、現像し、カバー電極1120により被覆されていない窒化シリコン層1104の領域の部分領域B1の上、およびカバー電極1120の上にフォトレジスト領域1132を形成する。次のドライエッチングプロセスで、窒化シリコン層1104およびその下の窒化チタン層1102をフォトレジスト領域1132に従ってパターニングする。その結果、下部電極1134が形成され、この電極と誘電体層1104の残っている誘電体およびカバー電極1120とでコンデンサ構成体1136が形成される。同時に、窒化チタン層1102に能動素子を接続するために用いられるインターコネクトが形成される。
フォトレジスト層1130の残留物を除去した後、例えば二酸化シリコンで形成され、数100ナノメートルの厚さを持つ誘電体層1140をコンデンサ構成体1136に提供する。誘電体1140を平坦化してその上に来る次のメタライゼーション層、すなわち窒化チタン1102で形成されたメタライゼーション層より半導体基板からさらに離れたメタライゼーション層用の誘電体を形成する。
次に、リソグラフィ処理をさらに行って、コンタクト部、すなわちビア用のコンタクトホール1142および1144を作製する。コンタクトホール1142の場合は、エッチングは誘電体層1140および1104を貫通して下部電極1134に達するまで行うが、コンタクトホール1144の場合は、誘電体層1140だけ貫通させてカバー電極1120に達するまでエッチングを行う。
次に、コンタクトホール1142および1144に導電材料、例えばタングステンを充填し、適切な場合は、適当な中間層を導入する。コンタクトホール1142の右端縁は、例えば400nmのカバー電極1120の左端縁から距離Aの位置にある。
他の実施例においては、誘電体層1104に変えて、二重以上の多層の誘電体層を使用する。同様に、窒化チタン層1102および1106に代えて、二重以上の多層の導電材料層も使用される。しかしながら、導電層よりなる上部積層構造と誘電体よりなる積層構造との境界の部分で説明した方法は、図11A乃至11Dを参照して上に説明した実施例についても同様である。
さらにもう一つの実施例においては、例えば、下部電極がメタライゼーション層にあって、下方から接続される場合、コンタクト部は誘電体層1104を完全には突き抜けない。それでも、コンデンサの誘電体および下部電極は、カバー電極の敏感な端縁部における誘電体層および下部電極のパターニング時に誘電体を損傷しないように、カバー電極を越えて張り出させる。この張り出した誘電体は上に説明した操作では大きくはエッチングされず、カバー電極を形成するために使用した層の残留物も誘電体上に残らない。特に、ドライエッチング法によってパターニングしたカバー電極の領域で電極の端縁部には残留物が全く残らない。
他の実施例においては、コンデンサの片側でしか下部電極に接続しない。この場合、接続を行う側で、ビアをして誘電体を貫通させる。反対側では、ビアを配設することなく、誘電体および下部電極をカバー電極の外側まで張り出させる。その結果、こちら側でも、敏感な電極の端縁領域における誘電体の損傷を避けられる。
図11A乃至11Dを参照して上に説明した方法は、3つまたは3つ以上の電極間に複数の誘電体層を含むコンデンサ、特に2つのメタライゼーション層間にあるか、または複数のメタライゼーション層にわたって広がるコンデンサ構成体についても使用される。
本発明の一態様に当てはまる考えは、各々、他の態様についても有効である。また、実施例で説明した諸措置は種々の態様にまたがって適用することができる。
図1は、3つの電極を持つコンデンサ構成体の製造における中間段階を示す。 図2は、3つの電極を持つ上記コンデンサ構成体の製造における次の中間段階を示す。 図3は、3つの電極を持つコンデンサ構成体を示す。 図4A乃至4Cは、3つの電極を持つもう一つのコンデンサ構成体を示す。 図5A乃至5Cは、異なる厚さの3つの電極を持つコンデンサ構成体を製造するための第2のリソグラフィ処理における中間段階を示す。 図6A乃至6Cは、異なるコンデンサ構成体に必要な表面積を比較して示す。 図7A乃至7Dは、9つの電極を持つコンデンサ構成体の製造に関わる製造段階を示す。 図8は、3電極コンデンサ構成体を示す。 図9は、4つのメタライゼーション層にわたって広がり、3つのシングルMIMコンデンサを持つコンデンサ構成体を示す。 And 図10は、3つのメタライゼーション層にわたって広がり、2つのシングルMIMコンデンサを持つコンデンサ構成体を示す。 図11Aは、コンデンサ構成体の製造に関わる一つの製造段階を示す。 図11Bは、電極層のドライエッチング後におけるコンデンサ構成体を示す。 図11Cは、電極層の残留物を除去するためのウェット化学クリーニングステップ後におけるコンデンサ構成体を示す。 図11Dは、コンデンサ構成体のさらなる製造段階を示す。

Claims (36)

  1. 集積コンデンサ構成体(110)を製造する方法であって、
    ベース電極層(14)と、
    ベース誘電体層(16)と、
    少なくとも1つの中心電極層(18)と、
    カバー誘電体層(20)と、
    カバー電極層(22)とを、この記載順に有する積層構造(124b)を作製するステップと、
    第1のリソグラフィ処理によって、該カバー電極層(22)および該中心電極層(18)をパターニングするステップと、
    第2のリソグラフィ処理によって、該カバー電極層(22a)および該ベース電極層(14)をパターニングするステップと
    を包含する、方法。
  2. 少なくとも2つの中心電極層(S2〜S8)を前記ベース電極層(S1)と前記カバー電極層(S9)との間に作製し、中心誘電体層(D2)を、中心電極層(S2)を作製した後これと隣接する中心電極層(S3)を作製する前に、隣接する2つの中心電極層(S2、S3)の間に位置させて作製することを特徴とする、請求項1に記載の方法。
  3. 前記第1のリソグラフィ処理時に、前記カバー電極層(S9)と前記中心電極層(S6)との間に配設した少なくとも1つの電極層(S8)を該カバー電極層(S9)と共にパターニングし、
    該第1のリソグラフィ処理時に、該中心電極層(S6)と前記ベース電極層(S1)との間に配設した少なくとも1つの電極層(S5)を該中心電極層(S6)と共にパターニングし、
    前記第2のリソグラフィ処理時に、該カバー電極層(S9)および該カバー電極層(S9)と該中心電極層(S6)との間に配設した該電極層(S8)をパターニングし、
    該第2のリソグラフィ処理時に、該ベース電極層(S1)および該ベース電極層(S1)と該中心電極層(S6)との間に配設した少なくとも1つの電極層(S2)をパターニングすることを特徴とする、請求項1または2に記載の方法。
  4. 非隣接の電極層(S3、S6)をパターニングする第3のリソグラフィ処理を少なくとも一回行い、該第3のリソグラフィ処理でパターニングする該電極層(S3、S6)の間の電極層(S4、S5)は該第3のリソグラフィ処理でパターニングしないことを特徴とする、請求項3に記載の方法。
  5. 前記リソグラフィ処理の実行中、エッチングを少なくとも1つの誘電体層(16、18)で停止し、該誘電体層は該リソグラフィ処理で最後にエッチングする前記少なくとも1つの電極層(16、22)の下側にあり、および/または、全エッチングを好ましくはドライ化学エッチングあるいは化学−物理手段で行い、および/または、終点検知、特に、少なくとも1本スペクトル線の把握に基づく終点検知を行ってエッチングの終点を検知することを特徴とする、請求項1から4のうちのいずれか一項に記載の方法。
  6. 少なくとも1つのリソグラフィ処理を実行中に、該リソグラフィ処理で最後にエッチングする前記少なくとも1つの電極(16、12)を化学手段または化学−物理手段でエッチングし、かつ該電極(16、22)の残った部分または該電極(16、12)の残留物をウェット化学手段でエッチングすることを特徴とする、請求項1から5のうちのいずれか一項に記載の方法。
  7. リソグラフィ処理で最後にパターニングされた電極に近接した誘電体層(D1)の少なくとも1つの部分エッチング領域または誘電体層(D1〜D9)のすべての部分エッチング領域を少なくとも次の1つのリソグラフィ処理、好ましくはその後のすべてのリソグラフィ処理においてレジストで被覆し、
    および/または、該リソグラフィ処理で突っ切り状にエッチングされた誘電体層(D1〜D9)に近接するリソグラフィ処理でパターニング済みの電極層の端縁部を次のリソグラフィ処理で除去することを特徴とする、請求項1から6のうちのいずれか一項に記載の方法。
  8. パターニング終了後の前記積層構造(124b)が、積層方向に一直線に並ぶ電極(114a、118a、122b)がなくなるように設計されたことを特徴とする、請求項1から7のうちのいずれか一項に記載の方法。
  9. 1つ置きの電極の電極接続部を前記積層構造の一方側に配設し、かつ他の電極用の電極接続部を該積層構造の反対側に配設したことを特徴とする、請求項1から8のうちのいずれか一項に記載の方法。
  10. 前記電極層(114a、118a、122b)を同じ厚さに作製し、
    あるいは他の電極層(314)より先にパターニングする電極層(322)が該他の電極層(314)より厚くなるように設計され、厚い方の該電極(322)が好ましくはカバー電極層であることを特徴とする、請求項1から9のうちのいずれか一項に記載の方法。
  11. 1つの電極用の接続部が、電極の少なくとも一方、少なくとも二方、少なくとも三方、あるいは少なくとも四方に整列させて設けたことを特徴とする、請求項1から10のうちのいずれか一項に記載の方法。
  12. 電極層をパターニングして複数の部分電極(426〜430)を形成し、
    コンデンサ構成体(420)のキャパシタンスを大きくするために、該部分電極(426〜430)を互いに接続することが可能であり、
    および/または、少なくとも1つの電極層、好ましくはすべての電極層または半分以上の電極層が100nmより薄く、あるいは60nmより薄くなるように設計されたことを特徴とする、請求項1から11のうちのいずれか一項に記載の方法。
  13. 集積コンデンサ構成体(500)、特に、請求項1から12のうちのいずれか一項に記載の方法で製造されたコンデンサ構成体(500)の特徴を有するコンデンサ構成体であって、
    ベース電極(S1)と、
    ベース誘電体(D1)と、
    少なくとも2つの中心電極(S2、S3)と、
    カバー誘電体(D8)と、
    カバー電極(S9)と
    をこの記載順に含む、集積コンデンサ構成体(500)。
  14. 2つ以上の中心電極(S2〜S8)、3つ以上の中心電極または5つ以上の中心電極(S2〜S8)を該ベース電極(S1)と該被覆電極(S9)との間に配設し、
    および/または、少なくとも1つの電極、好ましくは半分以上の電極が100ナノメートルより薄く、あるいは60ナノメートルより薄いことを特徴とする、請求項13に記載のコンデンサ構成体(500)。
  15. コンデンサ構成体(700、800)を含む集積回路構成体であって、
    互いに中間層(ILD1〜ILD3)によって分離され、電子素子接続用のインターコネクト(718、728)を含む少なくとも3つのメタライゼーション層(Me1〜Me4)と、
    該メタライゼーション層(Me1〜Me4)と交差する方向に配設された導電性コンタクト部(Via1〜Via10)と、
    互いに入り組んだ2枚のコンデンサプレートを形成するようコンタクト部(Via1〜Via10)を介して接続された電極(710、712、732)を含み、該電極(710、712、732)が少なくとも2つの中間層(ILD1〜ILD3)中に配設されたコンデンサ構成体(700)と
    を有する、集積回路構成体。
  16. 前記コンデンサ構成体(700)の少なくとも1つの電極(710)または部分電極(720)をメタライゼーション層(Me1、Me2)に配設し、
    および/または、前記コンデンサ構成体(700)の少なくとも1つの電極が、メタライゼーション層(Me2)に配設した部分電極(720)および中間層(ILD1)に配設した部分電極(712)を含み、
    該2つの部分電極を少なくとも1つのコンタクト部(Via2、Via3)または複数のコンタクト部を介して互いに電気的に接続したことを特徴とする、請求項15に記載の集積回路構成体。
  17. 前記コンデンサ構成体(700)の前記電極(712、722、732)を、少なくとも3つの中間層(ILD1〜ILD3)または3つ以上の中間層(ILD1〜ILD3)に配設したことを特徴とする、請求項15または16に記載の集積回路構成体。
  18. 中間層(ILD2)に配設され、他の中間層(ILD3)に配設した電極(732)と同じ輪郭形状を有する少なくとも1つの電極(722)を特徴とする、請求項1から17のうちのいずれか一項に記載の集積回路構成体。
  19. 同じ輪郭形状を持つ電極(722、732)の端縁を、コンデンサ構成体(700)を支持する基板表面に直角な方向で一直線に(736,738)、好ましくは端縁全体に沿って配設したことを特徴とする、請求項18に記載の集積回路構成体。
  20. 前記コンデンサ構成体の少なくとも2つの電極(822、824)または少なくとも3つの電極(822〜826)を、2つのメタライゼーション層(802、804)間に配設したことを特徴とする、請求項1から19のうちのいずれか一項に記載の集積回路構成体。
  21. コンデンサ構成体(800)における少なくとも3つの相続く電極(822〜826)を、該相続く電極(822〜826)の数より少ない数のリソグラフィ処理でパターニングしたことを特徴とする、請求項1から20のうちのいずれか一項に記載の集積回路構成体。
  22. 各電極を複数のコンタクト部(Via1〜Via10)によって接続し、
    および/または、中間層(ILD1〜ILD3)中にある少なくとも1つの部分電極(722)のコンタクト面が該部分電極の底表面積の30%以上あるいは50%以上をなし、
    および/または、部分電極ではない少なくとも1つのさらなる電極の接続用のコンタクト面が該部分電極のコンタクト面と同じ大きさであることを特徴とする、請求項1から21のうちのいずれか一項に記載の集積回路構成体。
  23. メタライゼーション層(Me1〜Me4)の金属部を銅、アルミニウム、少なくとも90%の銅を含有する銅合金または少なくとも90%のアルミニウムを含有するアルミニウム合金で形成し、
    および/または、該メタライゼーション層(Me1〜Me4)が100nm以上または150nm以上の厚さを有し、
    および/または、メタライゼーション層(Me1〜Me4)の金属部との接続を互いに反対側の面で行い、
    および/または、中間層(ILD1〜ILD3)の電極が金属または合金で形成されるか、あるいはこれらを含有し、特に金属窒化物、好ましくは、窒化チタンもしくは窒化タンタルで形成されるか、あるいはこれらを含有し、
    および/または、中間層(ILD1〜ILD3)の少なくとも1つの電極が100nm以下または60nm以下の厚さを有し、
    および/または、中間層(ILD1〜ILD3)中の電極との接続を片側の面のみで行い、
    および/または、中間層(ILD1〜ILD3)が酸化物、特に二酸化シリコンで形成されるかまたはこれを含有し、あるいは窒化物、特に窒化シリコンで形成されるかまたはこれを含有することを特徴とする、請求項1から22のうちのいずれか一項に記載の集積回路構成体。
  24. コンタクト部(Via1〜Via10)の位置を確定する幾何学的設計を除き、同じ幾何学的設計に基づき作製された少なくとも2つの集積コンデンサ構成体(700)を有するコンデンサ構成体(700)であって、
    該2つのコンデンサ構成体(700)の少なくとも1つが請求項1から23のいずれか1項に記載のコンデンサ構成体(700)として構成され、
    電極(710)の接続用の少なくとも1つのコンタクト部(Via1〜Via10)が一方のコンデンサ構成体(700)に存在し、他方のコンデンサ構成体には存在せず、そのため、該他方のコンデンサ構成体中の少なくとも1つの電極が接続されない、コンデンサ構成体(700)。
  25. 接続された前記電極(710)が、前記一方のコンデンサ構成体(700)との関係で、接続されていない前記電極が前記他方のコンデンサ構成体に対して有する関係と同じ位置にあることを特徴とする、請求項24に記載のコンデンサ構成体(700)。
  26. コンデンサ構成体(1134)を製造する方法であって、
    誘電体層(1104)を作製するステップと、
    該誘電体層(1104)を作製した後、電極層(1106)を作製するステップと、
    化学ドライエッチングプロセスまたは化学−物理ドライエッチングプロセスを用いて電極層(1106)をパターニングするステップと、
    該誘電体(1104)から該電極(1106)の残留物をウェット化学法により除去するステップ、または該誘電体層(1104)からドライエッチングプロセス時に薄くなった該電極(1106)の領域をウェット化学法により除去するステップと
    を包含する、方法。
  27. 前記ウェット化学法による除去を前記誘電体層(1104)との関係で選択性をもって、好ましくは4:1以上または10:1以上の選択性をもって行うことを特徴とする、請求項26に記載の方法。
  28. 前記電極層(1106)を時間制御法によりエッチングし、
    前記電極層(1106)が、金属窒化物、特に窒化チタン、窒化タングステンあるいは窒化タンタルを含有するかまたはこれらの金属窒化物で形成され、
    および/または、該電極層(1106)が100nmまたは60nmより薄い
    ことを特徴とする、請求項26または27に記載の方法。
  29. 前記電極層(1106)が窒化チタンで形成されるかまたは窒化チタンを含有し、
    および/または、塩基性水溶液、好ましくは酸化剤、特に過酸化水素を含有する、および/または特にアンモニア水溶液および/または特にアミンを含有する溶液を前記ウェット化学法による除去に使用し、
    および/または、酸、特に硝酸とフッ化水素酸の溶液を該ウェット化学法による除去に使用することを特徴とする、請求項1から28のうちのいずれか一項に記載の方法。
  30. 前記誘電体層(1104)が窒化シリコンまたは二酸化シリコンを含有し、あるいは窒化シリコンまたは二酸化シリコンで形成され、
    および/または該誘電体層(1104)が、100nm以下の、または50nm以下の、好ましくは、しかしながら30nm以上の層厚(D2)を有することを特徴とする、請求項1から29のうちのいずれか一項に記載の方法。
  31. 前記誘電体層(1104)を前記ウェット化学法による除去後パターニングし、特に該ウェット化学法による除去と該誘電体層(1104)のパターニングとの間に他の層を前記電極(1106)上に作製することなくパターニングし、
    および/または、化学ドライエッチングプロセスまたは化学−物理ドライエッチングプロセスを用いて該誘電体層(1104)をエッチングし、
    および/または、該誘電体層(1104)を該電極層(1106)から距離(B1)、特に5nm以上のまたは50nm以上のあるいは100nm以上の位置でパターニングすることを特徴とする、請求項1から30のうちのいずれか一項に記載の方法。
  32. 前記電極層(1106)を、オーバーエッチング時間が6秒以下、または3秒以下、好ましくは0秒でドライエッチングプロセスを用いてオーバーエッチングし、
    および/または、ウェット化学法による除去時における電極層(1106)から形成された電極(1120)の部分的横方向エッチングを、電極層(1106)をパターニングするためのリソグラフィ処理で使用するマスクの設計の設計寸法を増すことによって補償することを特徴とする、請求項1から31のうちのいずれか一項に記載の方法。
  33. 通常の使用条件下における実用寿命が少なくとも7年あるいは少なくとも10年の回路構成体を製造する方法が使用されることを特徴とする、請求項1から32のうちのいずれか一項に記載の方法。
  34. 集積コンデンサ構成体(1134)、特に、請求項1から33のいずれか一項に記載の方法により製造されたコンデンサ構成体(1134)であって、
    誘電体層(1104)と、該誘電体層(1104)と接触する電極(1120)とを有し、
    該電極(1120)によって被覆されていない少なくとも1つの断面(B1)における該誘電体層(1104)の厚さの、該電極(1120)によって被覆された領域における該誘電体の厚さに対する偏差が、5nm以下あるいは1nm以下であり、
    該誘電体層(1104)の該電極(1120)により被覆されていない領域に、該電極(1120)を作製するためにパターニングした電極層(1106)の残留物がない、集積コンデンサ構成体(1134)。
  35. 前記電極に近い前記断面(B1)の境界が、該電極(1120)から少なくとも3nmまたは少なくとも10nmの距離にあり、
    および/または、該電極から遠い該断面(B1)の境界が、該電極(1120)から該電極に近い該断面(B1)の境界よりさらに少なくとも5nmまたは少なくとも10nmあるいは少なくとも20nm離れた距離にあり、
    および/または、該断面(B1)内の該誘電体層の厚さの変動が最大3nmまたは最大2nmあるいは最大1nmであることを特徴とする、請求項34に記載の集積コンデンサ構成体(1134)。
  36. 前記誘電体層(1104)が、少なくとも1つのコンタクト部(1142)または多数のコンタクト部によって貫通されることを特徴とする、請求項34または35に記載の集積コンデンサ構成体(1134)。
JP2004562483A 2002-12-20 2003-11-27 コンデンサ構成体の製造方法およびコンデンサ構成体 Expired - Fee Related JP4628794B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10260352A DE10260352A1 (de) 2002-12-20 2002-12-20 Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
PCT/DE2003/003933 WO2004059735A1 (de) 2002-12-20 2003-11-27 Verfahren zum herstellen einer kondensatoranordnung und kondensatoranordnung

Publications (2)

Publication Number Publication Date
JP2006511088A true JP2006511088A (ja) 2006-03-30
JP4628794B2 JP4628794B2 (ja) 2011-02-09

Family

ID=32519267

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004562483A Expired - Fee Related JP4628794B2 (ja) 2002-12-20 2003-11-27 コンデンサ構成体の製造方法およびコンデンサ構成体

Country Status (7)

Country Link
US (1) US8008161B2 (ja)
EP (3) EP1995781A3 (ja)
JP (1) JP4628794B2 (ja)
CN (1) CN100576543C (ja)
DE (3) DE10260352A1 (ja)
TW (1) TWI267948B (ja)
WO (1) WO2004059735A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008205121A (ja) * 2007-02-19 2008-09-04 Toshiba Corp 半導体装置及びその製造方法
JP2010232304A (ja) * 2009-03-26 2010-10-14 Tdk Corp 薄膜コンデンサ及びその製造方法
JP2014502428A (ja) * 2010-12-07 2014-01-30 ザイリンクス インコーポレイテッド 電力分配ネットワーク

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179419A (ja) * 2002-11-27 2004-06-24 Toshiba Corp 半導体装置及びその製造方法
US7208095B2 (en) * 2004-12-15 2007-04-24 Infineon Technologies Ag Method for fabricating bottom electrodes of stacked capacitor memory cells and method for cleaning and drying a semiconductor wafer
US20100079929A1 (en) * 2008-10-01 2010-04-01 Peter Smeys Cmos compatible integrated high density capacitor structure and process sequence
KR20100079081A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 엠아이엠 커패시터 및 그의 제조 방법
US7989919B2 (en) * 2009-06-03 2011-08-02 Infineon Technologies Ag Capacitor arrangement and method for making same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9299498B2 (en) * 2012-11-15 2016-03-29 Eulex Corp. Miniature wire-bondable capacitor
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP2016162904A (ja) * 2015-03-03 2016-09-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10297389B2 (en) * 2016-07-28 2019-05-21 Samsung Electro-Mechanics Co., Ltd. Thin-film capacitor having asymmetric shaped vias
KR101853195B1 (ko) * 2016-09-01 2018-04-27 삼성전기주식회사 박막 커패시터
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6737118B2 (ja) 2016-10-11 2020-08-05 Tdk株式会社 薄膜コンデンサ
JP6805702B2 (ja) 2016-10-11 2020-12-23 Tdk株式会社 薄膜コンデンサ
JP2018063989A (ja) 2016-10-11 2018-04-19 Tdk株式会社 薄膜キャパシタ
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101942729B1 (ko) * 2016-11-24 2019-01-28 삼성전기 주식회사 박막 커패시터
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
KR101952869B1 (ko) 2017-05-29 2019-02-27 삼성전기주식회사 커패시터
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10658455B2 (en) 2017-09-28 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Metal insulator metal capacitor structure having high capacitance
DE102018107387B4 (de) 2017-09-28 2022-08-25 Taiwan Semiconductor Manufacturing Co. Ltd. Metall-isolator-metall-kondensatorstruktur mit hoher kapazität und verfahren zu deren herstellung
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
EP3796383A4 (en) * 2019-07-26 2021-03-31 Shenzhen Goodix Technology Co., Ltd. CAPACITIVE DEVICE AND MANUFACTURING METHOD FOR IT
US20210305356A1 (en) 2020-03-26 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for metal insulator metal capacitors
US11990470B2 (en) * 2021-09-24 2024-05-21 International Business Machines Corporation Ferroelectric and paraelectric stack capacitors
US11869725B2 (en) * 2021-11-30 2024-01-09 Texas Instruments Incorporated Multi-stacked capacitor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01120858A (ja) * 1987-11-04 1989-05-12 Mitsubishi Electric Corp 集積回路装置
JPH01278060A (ja) * 1988-04-30 1989-11-08 Fujitsu Ltd キャパシタの製造方法
JPH07130702A (ja) * 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
JP2000514243A (ja) * 1996-06-27 2000-10-24 ジェナム コーポレーション 多層膜キャパシタ構造及び方法
JP2001230382A (ja) * 1999-12-22 2001-08-24 Texas Instr Inc <Ti> 強誘電性コンデンサを形成するための水素を含まない接触エッチング
WO2001078093A2 (en) * 2000-04-06 2001-10-18 Gennum Corporation Distributed capacitor

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0682783B2 (ja) * 1985-03-29 1994-10-19 三菱電機株式会社 容量およびその製造方法
US5258093A (en) * 1992-12-21 1993-11-02 Motorola, Inc. Procss for fabricating a ferroelectric capacitor in a semiconductor device
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
EP0837504A3 (en) * 1996-08-20 1999-01-07 Ramtron International Corporation Partially or completely encapsulated ferroelectric device
US6103633A (en) * 1997-11-24 2000-08-15 Taiwan Semiconductor Manufacturing Company Method for cleaning metal precipitates in semiconductor processes
KR100257079B1 (ko) * 1997-12-05 2000-05-15 김영환 반도체소자 및 이의 제조방법
US6207465B1 (en) * 1998-04-17 2001-03-27 Symetrix Corporation Method of fabricating ferroelectric integrated circuit using dry and wet etching
JP2000003991A (ja) * 1998-06-15 2000-01-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6162738A (en) * 1998-09-01 2000-12-19 Micron Technology, Inc. Cleaning compositions for high dielectric structures and methods of using same
JP3148191B2 (ja) * 1998-12-09 2001-03-19 日本電気株式会社 半導体積層コンデンサとその製造方法
US6198617B1 (en) * 1999-01-12 2001-03-06 United Microelectronics Corp. Multi-layer metal capacitor
KR100308190B1 (ko) * 1999-01-20 2001-09-26 윤종용 강유전 결정 물질 형성을 위한 공정 중 발생하는 파이로클로르를 제거하는 방법
US7294544B1 (en) * 1999-02-12 2007-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a metal-insulator-metal capacitor in the CMOS process
JP3495955B2 (ja) * 1999-03-26 2004-02-09 シャープ株式会社 半導体メモリ装置及びその製造方法
JP2000294745A (ja) * 1999-04-09 2000-10-20 Sony Corp キャパシタの形成方法
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
KR100320435B1 (ko) * 1999-11-22 2002-01-15 박종섭 불휘발성 강유전체 메모리 소자 및 그 제조방법
US6123088A (en) 1999-12-20 2000-09-26 Chartered Semiconducotor Manufacturing Ltd. Method and cleaner composition for stripping copper containing residue layers
JP3951540B2 (ja) 2000-02-25 2007-08-01 富士通株式会社 強誘電体膜を有する半導体装置の製造方法
US6437385B1 (en) * 2000-06-29 2002-08-20 International Business Machines Corporation Integrated circuit capacitor
US6838717B1 (en) * 2000-08-31 2005-01-04 Agere Systems Inc. Stacked structure for parallel capacitors and method of fabrication
US6692976B1 (en) * 2000-08-31 2004-02-17 Agilent Technologies, Inc. Post-etch cleaning treatment
US20020123008A1 (en) * 2000-12-21 2002-09-05 Ning Xiang J. Isotropic etch to form MIM capacitor top plates
TW589690B (en) * 2001-03-07 2004-06-01 United Microelectronics Corp On-line monitoring silicon nitride loss method
US6479376B1 (en) * 2001-03-16 2002-11-12 Taiwan Semiconductor Manufacturing Company Process improvement for the creation of aluminum contact bumps
US6549396B2 (en) * 2001-04-19 2003-04-15 Gennum Corporation Multiple terminal capacitor structure
US6710425B2 (en) 2001-04-26 2004-03-23 Zeevo, Inc. Structure to increase density of MIM capacitors between adjacent metal layers in an integrated circuit
KR100399074B1 (ko) 2001-04-27 2003-09-26 주식회사 하이닉스반도체 비엘티 강유전체막을 구비하는 강유전체 메모리 소자 제조방법
EP1278237A1 (en) * 2001-07-17 2003-01-22 Interuniversitair Microelektronica Centrum Vzw Method for residue removal on ferroelectric materials
DE10149736C1 (de) * 2001-10-09 2003-04-17 Infineon Technologies Ag Verfahren zum Ätzen eines Metallschichtsystems
US6828245B2 (en) * 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US6452779B1 (en) 2002-03-25 2002-09-17 International Business Machines Corporation One-mask metal-insulator-metal capacitor and method for forming same
US6940117B2 (en) * 2002-12-03 2005-09-06 International Business Machines Corporation Prevention of Ta2O5 mim cap shorting in the beol anneal cycles
DE10326087B4 (de) * 2003-06-10 2008-03-20 Infineon Technologies Ag Bauelement mit einer Nutzstruktur und einer Hilfsstruktur
US6919244B1 (en) * 2004-03-10 2005-07-19 Motorola, Inc. Method of making a semiconductor device, and semiconductor device made thereby

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01120858A (ja) * 1987-11-04 1989-05-12 Mitsubishi Electric Corp 集積回路装置
JPH01278060A (ja) * 1988-04-30 1989-11-08 Fujitsu Ltd キャパシタの製造方法
JPH07130702A (ja) * 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
JP2000514243A (ja) * 1996-06-27 2000-10-24 ジェナム コーポレーション 多層膜キャパシタ構造及び方法
JP2001230382A (ja) * 1999-12-22 2001-08-24 Texas Instr Inc <Ti> 強誘電性コンデンサを形成するための水素を含まない接触エッチング
WO2001078093A2 (en) * 2000-04-06 2001-10-18 Gennum Corporation Distributed capacitor

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008205121A (ja) * 2007-02-19 2008-09-04 Toshiba Corp 半導体装置及びその製造方法
JP2010232304A (ja) * 2009-03-26 2010-10-14 Tdk Corp 薄膜コンデンサ及びその製造方法
US8997321B2 (en) 2009-03-26 2015-04-07 Tdk Corporation Method of manufacturing a thin film capacitor having separated dielectric films
JP2014502428A (ja) * 2010-12-07 2014-01-30 ザイリンクス インコーポレイテッド 電力分配ネットワーク

Also Published As

Publication number Publication date
EP1995781A2 (de) 2008-11-26
EP1995781A3 (de) 2009-03-18
EP1573819A1 (de) 2005-09-14
EP1983573A3 (de) 2009-03-18
WO2004059735A1 (de) 2004-07-15
CN100576543C (zh) 2009-12-30
EP1983573A2 (de) 2008-10-22
EP1983573B1 (de) 2010-06-30
TWI267948B (en) 2006-12-01
TW200419722A (en) 2004-10-01
US8008161B2 (en) 2011-08-30
DE50312856D1 (de) 2010-08-12
DE10260352A1 (de) 2004-07-15
DE50313644D1 (de) 2011-06-01
EP1573819B1 (de) 2011-04-20
JP4628794B2 (ja) 2011-02-09
CN1729572A (zh) 2006-02-01
US20050287755A1 (en) 2005-12-29

Similar Documents

Publication Publication Date Title
JP4628794B2 (ja) コンデンサ構成体の製造方法およびコンデンサ構成体
US6657247B2 (en) Semiconductor device with MIM capacitance element
KR20040025534A (ko) 반도체 장치
JP2006512787A (ja) キャパシタおよびその製造方法
US7923843B2 (en) Semiconductor device with a contact plug connected to multiple interconnects formed within
JP2008210843A (ja) 半導体装置の製造方法および半導体装置
US20090065836A1 (en) Semiconductor device having mim capacitor and method of manufacturing the same
US7560332B2 (en) Integrated circuit capacitor structure
JP2001320026A (ja) 半導体装置およびその製造方法
JPH11121327A (ja) 半導体装置及びその製造方法
JP4303205B2 (ja) 強誘電体キャパシタの製造方法
KR100477541B1 (ko) 엠아이엠 캐패시터 형성방법
KR101159112B1 (ko) 가변 용량 캐패시터 및 그 제조방법
KR100482025B1 (ko) 반도체 소자의 제조방법
JP2002299558A (ja) 半導体装置及びその製造方法
KR101057753B1 (ko) 반도체 소자의 제조방법
JP2005093531A (ja) 半導体素子の構造とその製造方法
KR0166491B1 (ko) 반도체 소자의 캐패시터 제조방법
KR101097786B1 (ko) 반도체 소자의 형성 방법
JP4161285B2 (ja) 半導体装置及びその製造方法
KR100499395B1 (ko) 반도체 장치의 캐패시터 구조 및 그 제조방법
US20050112858A1 (en) Contact hole forming method
KR20030002668A (ko) 엠아이엠 캐패시터 형성방법
JP2003188265A (ja) Mim型容量素子の製造方法
JP2003258108A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090603

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090903

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090910

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091210

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100421

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101019

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101110

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees