JP2006080408A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2006080408A
JP2006080408A JP2004264819A JP2004264819A JP2006080408A JP 2006080408 A JP2006080408 A JP 2006080408A JP 2004264819 A JP2004264819 A JP 2004264819A JP 2004264819 A JP2004264819 A JP 2004264819A JP 2006080408 A JP2006080408 A JP 2006080408A
Authority
JP
Japan
Prior art keywords
film
electrode
etching
temperature
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004264819A
Other languages
English (en)
Other versions
JP4551725B2 (ja
Inventor
Motoki Kobayashi
元樹 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP2004264819A priority Critical patent/JP4551725B2/ja
Priority to US11/155,479 priority patent/US7371588B2/en
Publication of JP2006080408A publication Critical patent/JP2006080408A/ja
Application granted granted Critical
Publication of JP4551725B2 publication Critical patent/JP4551725B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7687Thin films associated with contacts of capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 強誘電体キャパシタを含む半導体装置の製造方法において、強誘電体膜の劣化を原因とするリーク電流を抑制することにある。
【解決手段】 半導体基板に回路素子を形成するステップと、半導体基板上に回路素子を覆う第1絶縁膜を形成するステップと、第1絶縁膜上に第1電極を形成するステップと、第1電極上に強誘電体膜を形成するステップと、強誘電体膜上に第2電極を形成するステップと、第2電極上に所定のパターンを有するマスク膜を形成するステップと、半導体基板の温度または半導体基板を載置する載置電極の温度を第1温度とし、マスク膜をマスクとして第2電極をエッチングするステップと、半導体基板の温度または半導体基板を載置する載置電極の温度を第1温度以下の第2温度とし、マスク膜をマスクとして強誘電体膜をエッチングするステップと、半導体基板の温度または半導体基板を載置する載置電極の温度を第1温度と略同一の第3温度とし、マスク膜をマスクとして第1電極をエッチングするステップとを含むことを特徴とする半導体装置の製造方法。
【選択図】 図2

Description

本発明は、半導体装置の製造方法、特に、強誘電体キャパシタを含む半導体装置の製造方法に関する。
従来の強誘電体をキャパシタに用いた半導体メモリーは、例えば、特許文献1、2及び3に記載されている。
特許文献1には、絶縁膜に形成された開口部に埋め込まれるとともに絶縁膜表面に形成されたTiN層からなるプラグ電極層と、白金からなる下部電極と、PZT膜からなる強誘電体膜と、白金、TiN、WまたはAlなどからなる上部電極層を順次形成し、レジストをマスクとして一括でドライエッチングする強誘電体キャパシタのエッチング方法が記載されている。この製造方法では、TiN層を開口部に埋め込むとともに絶縁膜表面にも形成することにより、下部電極、強誘電体膜、上部電極を平坦に形成する。これにより、上部電極と下部電極との間隔を所定距離に保ち、上部電極と下部電極との間でリーク電流が流れることを防止している。
特許文献2には、下層白金電極、強誘電体膜、上層白金膜、及びチタン膜を順次形成し、チタン膜をパターニングし、パターニングされたチタン膜をマスクとして、ClとOの混合ガスのプラズマを用いたドライエッチング法により、下層白金電極、強誘電体膜、上層白金電極を連続して一括でエッチングする強誘電体キャパシタのエッチング方法が記載されている。強誘電体キャパシタのエッチングにおいて、酸化し易いチタン膜をエッチングマスクとして用いることにより、チタン膜が酸化されてエッチング速度が遅くなる一方、エッチング対象膜(下層白金電極、強誘電体膜、上層白金膜)については大きなエッチング速度が確保され、エッチング対象膜とエッチングマスク膜との選択比を大きくし、加工精度を向上させている。
特許文献3には、Ir/IrOからなる下部電極と、PZT膜と、Ir/IrOからなる上部電極とを備えた強誘電体キャパシタのエッチング方法が記載されている。このエッチング方法では、BClとClの混合ガスを用いてPZT膜をドライエッチングすることにより、エッチング面に除去物質が発生することを防止している。また、Ir/IrOのドライエッチングにフッ素を添加したBClとClとの混合ガスを用いることにより、レジスト側壁にIrが再付着することを防止している。
特開平5−299601号公報(第8−10頁、第20図、第83図) 特開平9−266200号公報(第3−4頁、第1図) 特開平9−251983号公報(第2−3頁、第1−2図)
しかしながら、特許文献1及び2に記載のエッチング方法のように上部電極、強誘電体膜及び下部電極からなる積層構造膜を一括で加工してキャパシタを形成する方法では、強誘電体膜をドライエッチングする際、下部電極をドライエッチングする際に、還元性のあるClによって強誘電体膜にダメージ層が形成される。ダメージ層は、加工後の強誘電体膜の露出する側面から内部に侵入するように形成され、ダメージ層では、強誘電体膜本来の誘電分極特性が失われたり、上部電極から下部電極への電流リークのパスとなる。この結果、キャパシタ面積に対して有効な分極特性が得られない虞がある。特に、ダメージ層は、小面積のキャパシタにも大面積のキャパシタと同程度の面積で形成されるので、小面積化する程、キャパシタの有効面積に対するダメージ層の面積の割合が大きくなり、誘電分極特性の劣化、電流リーク増大等の特性劣化を招きやすくなる。従って、高集積化のために微細化された強誘電体キャパシタにおいては、有効面積におけるダメージ層の占有率がより増加するため、誘電分極特性の悪化、電流リーク増大の問題が一層顕著に表れると思われる。
なお、特許文献3には、強誘電体キャパシタ形成において積層構造膜を一括でドライエッチングする際の問題点について記載されていない。
本発明に係る半導体装置の製造方法は、半導体基板に回路素子を形成するステップと、半導体基板上に回路素子を覆う第1絶縁膜を形成するステップと、第1絶縁膜上に第1電極を形成するステップと、第1電極上に強誘電体膜を形成するステップと、
強誘電体膜上に第2電極を形成するステップと、第2電極上に所定のパターンを有するマスク膜を形成するステップと、半導体基板の温度または半導体基板を載置する載置電極の温度を第1温度とし、マスク膜をマスクとして第2電極をエッチングするステップと、半導体基板の温度または半導体基板を載置する載置電極の温度を第1温度以下の第2温度とし、マスク膜をマスクとして強誘電体膜をエッチングするステップと、半導体基板の温度または半導体基板を載置する載置電極の温度を第1温度と略同一の第3温度とし、マスク膜をマスクとして第1電極をエッチングするステップと、を含むことを特徴とする。
この製造方法では、強誘電体キャパシタの第1及び第2電極を第2温度以上の第1温度及び第3温度でそれぞれエッチングすることにより、第1及び第2電極を高速でエッチングすることが可能である。また、強誘電体キャパシタの第1及び第2電極を第2温度以上の第1温度及び第3温度でそれぞれエッチングすることにより、第1及び第2電極のエッチング時に電極材料の揮発性を向上させ、パターン内角を90度に近づける。また、強誘電体膜を第1温度以下の第2温度でエッチングすることにより、強誘電体膜にリーク電流のパスとなるような劣化が起こることを抑制し、強誘電体膜の劣化を原因とするリーク電流を抑制する。
本発明によれば、強誘電体膜にリーク電流のパスとなるような劣化が起こることを抑制し、強誘電体膜の劣化を原因とするリーク電流を抑制することができる。
本発明の一実施形態に係る強誘電体キャパシタを含む半導体装置の製造方法を、図1及び図2を参照して説明する。図1及び図2は、半導体装置の製造フローを示す断面図である。
〔積層構造膜、エッチングマスク膜の形成〕
図1(a)に示すように、通常のSi半導体プロセスを用いてSi半導体基板1に素子分離絶縁膜2、拡散層3を形成し、さらに半導体基板1上にゲート絶縁膜及びゲート電極を形成してトランジスタ4を形成する。その後、半導体基板1上に絶縁膜5を形成してトランジスタ4を覆い、絶縁膜5を平坦化する。次に、絶縁膜5に開口部6を形成して拡散層3を露出し、TiNからなるバリア膜7及びWからなるプラグ電極8を開口部6に埋め込む。
次に、図1(b)に示すように、プラグ電極8の酸化防止膜としてTiAlN膜をスパッタ法で形成し、TiAlN膜と連続して密着層としてIr膜及びIrO膜を順次スパッタ法で形成し、その後、Pt膜をスパッタ法により形成する。TiAlN膜、Ir膜及びIrO膜が下部電極9を構成する。ここで、TiAlNのスパッタ条件は、たとえば、ターゲットTiN(組成1:1)、Ar/N雰囲気、DC電力1000W、基板温度200℃、膜厚50nmである。Irのスパッタ条件は、たとえば、ターゲットIr、Ar雰囲気、DC電力1000W、基板温度400℃、膜厚400nmである。IrOのスパッタ条件は、たとえば、ターゲットIr、Ar/O雰囲気、DC電力500W、基板温度350℃、膜厚100nmである。Pt膜のスパッタ条件は、たとえば、ターゲットPt、Ar雰囲気、DC電力1000W、基板温度200℃、膜厚50nmである。
次に、強誘電体膜10としてSBT(タンタル酸ストロンチウムビスマス:SrBiTa)膜をゾルゲル法により形成する(図1(b))。ここでは、SBT膜の形成方法は、3層塗りとする。具体的には、SBTを溶解した前駆体溶液を下部電極9上に第1回目のスピンオン後、700℃で結晶化アニール、前駆体溶液を下部電極9上に第2回目のスピンオン後、700℃で結晶化アニール、前駆体溶液を下部電極9上に第3回目のスピンオン後、800℃で結晶化アニールする。強誘電体膜10の膜厚は、例えば100nmとする。
次に、上部電極11としてPt膜をスパッタ法で形成する。Pt膜のスパッタ条件は、下部電極9のPt膜のスパッタの場合と同様である。
下部電極9、強誘電体膜10及び上部電極11が強誘電体キャパシタの積層構造膜を構成する。
その後、第1のエッチングマスク膜12としてTiN膜をスパッタ法により形成し、第1エッチングマスク膜12上に第2のエッチングマスク膜13としてSiO膜をプラズマCVD法で形成する。即ち、第1エッチングマスク膜12及び第2エッチングマスク膜13が2層構造のエッチングマスク膜を構成する。以下の説明では簡単のため、第1のエッチングマスク膜、第2のエッチングマスク膜を、単に第1マスク膜、第2マスク膜と称す。TiN膜のスパッタ条件は、例えば、ターゲットTi、N雰囲気、DC電力5000W、基板温度100℃、膜厚100nmである。SiO膜は、例えば、P−TEOS(プラズマテトラエトキシシラン)CVD法を用い、100nm形成する。
ここで、第2マスク膜13は、図2(e)に示す強誘電体膜10のエッチングが終了するまで残り、かつ、図2(f)の下部電極11のエッチング工程途中で無くなるような膜厚に形成する。また、第1マスク膜13のTiN膜は、図2(f)の下部電極11のエッチング工程におけるオーバエッチングにおいて無くなるような膜厚に形成する。このように、第1マスク膜12及び第2マスク膜13が、図2(f)の下部電極9のエッチング工程が終了するまでに無くなるように設定することにより、エッチングマスク膜(第1マスク膜12及び/又は第2マスク膜13)の除去工程を省略することが可能である。なお、エッチングマスク膜が図2(f)の下部電極9のエッチング工程が終了するまで残るような膜厚にエッチングマスク膜を形成する場合には、下部電極9のエッチング工程終了後にエッチングマスク膜の除去工程を設ければ良い。
次に、第2マスク膜13上にレジスト14を形成し、図1(c)に示すように、通常のリソグラフィ法を用いてレジスト14にキャパシタパターンを転写し、レジスト14をマスクとして第2マスク膜13のSiO膜、第1マスク膜12のTiN膜を加工する。SiO膜、TiN膜のエッチングには、一般のCMOSプロセスに用いられている方法を適用できる。ここでは、SiOのエッチングにはC/Ar/O混合ガスを用い、TiNのエッチングにはBCl/Cl混合ガスを用いる。引き続き、レジストの除去工程として、通常のOプラズマアッシング及びHSO洗浄を行う。ここでは、HSO洗浄を用いたが、一般に使用されている有機系剥離剤を用いることも可能である。
〔上部電極のエッチング〕
次に図2(d)に示すように、エッチング装置100のチャンバ102において、第2マスク膜13(SiO膜)をマスクとして上部電極11のPt膜をエッチングする。
ここで、本実施形態で用いるエッチング装置100の概略平面図を図6に示す。このエッチング装置100は、平行平板型RIE装置である。このエッチング装置100は、チャンバ101と、チャンバ102と、チャンバ103と、搬送室104と、搬送室104に設置された搬送ロボット105とを備えている。チャンバ101は、半導体ウエハ(以下ウエハと称す)を載置する装置下部電極を備え、装置下部電極の温度を25〜350℃に制御可能である。チャンバ102は、ウエハを載置する装置下部電極を備え、装置下部電極を350〜450℃に制御可能である。チャンバ103は、アッシング用のチャンバである。また、このエッチング装置100は、チャンバ101及びチャンバ102の装置下部電極に13.56MHz及び450KHzの2つの周波数の高周波電力を印加する機構を有する。搬送室104には、出入口106が設けられており、搬送ロボット105は出入口106を介してウエハをエッチング装置100から出し入れする。また、搬送室104の出入口106の外には、カセット107が配置され、搬送ロボット105は、カセット107からウエハを受け取り、出入口106を介して搬送室104内にウエハを導入するとともに、ウエハを搬送室104から出入口106を介してカセット107に収納する。
Ptをできるだけ高速にエッチングするためには、Clプラズマ中でPt塩化物が自発的に揮発する温度までウェハ温度を上げることが重要であり、そのため、Ptをエッチングするチャンバー102は、上述したように、装置下部電極の温度を350〜450℃に保持する機構を有している。この機構では、装置下部電極に載置されるウエハの温度を350〜450℃に上げることができ、Clプラズマ中でPt塩化物を自発的に揮発させることができる。この結果、上部電極11のPt膜を高速にエッチングすることができる。また、装置下部電極に載置されるウエハの温度を350〜450℃に上げることによりPt塩化物が揮発し易く、Pt塩化物等の除去物質が強誘電体膜10に再付着することを防止できる。
上部電極11のPt膜のエッチング条件は、以下の条件を用いた。エッチングガスには、Cl/O=5/15sccmの混合ガス、または、Cl/O/Ar=5/15/10sccmの混合ガスを用いる。また、ガス圧力を2mTorr、13.56MHzのRFパワーを1000W、450KHzのRFパワーを100Wとする処理条件を主に用いる。また、チャンバ102の装置下部電極の温度及びウエハの温度を350〜450℃、チャンバ内壁温度を80℃とする。この条件では、Ptのエッチングレートが60nm/min、SBTのエッチングレートが20nm/min、選択比(Pt/SBT)が3以上であった。なお、エッチングガスは、Cl/Ar混合ガスを用いても良い。
ガスプロセスの最適化には、形成したキャパシタの残留分極値評価を用いた。残留分極の値は、図5に示すようなキャパシタのヒステリシス特性におけるY軸の2交点のレンジ(2Pr:単位μC/cm)を用いた。図3(a)に、Cl/O混合ガスの場合と、Cl/O/Ar混合ガスの場合とのそれぞれの残留分極値を示す。上部電極面積が625um、64um、16umの何れの場合も、Cl/Oの場合と、Cl/O/Arの場合とで残留分極に殆ど差異が無いことが分かる。
〔強誘電体膜のエッチング〕
上部電極11のエッチング後に、エッチング装置100からウエハを取り出し、カセット107に収納した後、再度、そのウエハを搬送室104に導入し、チャンバ101に投入する(図6参照)。チャンバー101において、図2(e)に示すように、第2マスク膜13をマスクとして、強誘電体膜12のSBT膜をエッチングする。
ここで、上部電極11のエッチング後にウエハを一度取り出す理由は、エッチング装置100が、図6矢印に示すように、装置内でウエハをチャンバー101からチャンバー102へと搬送する機構であり、チャンバー101からチャンバ102へのウエハの搬送は可能であるが、チャンバー102からチャンバー101へのウエハの搬送が不可能だからである。なお、装置内でチャンバー102からチャンバー101への搬送が可能なエッチング装置では、エッチング装置からウエハを一度取り出す必要はない。
SBT膜をエッチングするチャンバー101は、上述したように、ウエハを載置する装置下部電極及びチャンバー内壁の温度を25〜350℃に保持する機構を有しており、ウエハの温度又はウエハを載置する装置下部電極の温度を25〜350℃に制御する。エッチングガスは、Cl/Ar=10/10sccm、ガス圧力を1mTorr、13.56MHzのRFパワーを550W、450KHzのRFパワーを120Wとする処理条件を主に用いる。エッチングガスは、Clガス、Cl/O混合ガスまたはCl/O/Ar混合ガスを用いても良い。
〔下部電極のエッチング〕
強誘電体膜12のエッチング後、エッチング装置100内でウエハをチャンバー101からチャンバー102に搬送し、第1のチャンバーにおいて、図2(f)に示すように、下部電極11のPt膜、IrO2膜、Ir膜、TiAlN膜をエッチングする。
上述したように、チャンバー102は、電極温度を350〜450℃に保持する機構を有しおり、ウエハの温度又はウエハを載置する装置下部電極の温度を350〜450℃に制御する。エッチングガスには、Cl/O=5/15sccmの混合ガス、または、Cl/O/Ar=5/15/10sccmの混合ガスを用いる。ガス圧力を1または2mTorr、13.56MHzのRFパワー1000W、450kHzのRFパワー100Wの処理条件を主に用いた。また、チャンバ102の装置下部電極の温度を350〜450℃、チャンバ内壁温度を80℃とする。この条件では、Ptのエッチングレートが60nm/min、SBTのエッチングレートが20nm/min、選択比(Pt/SBT)が3以上であった。なお、エッチングガスは、Cl/Ar混合ガスを用いても良い。
ガスプロセスの最適化には、形成したキャパシタの残留分極値評価を用いた。残留分極の値は、図5に示すようなキャパシタのヒステリシス特性におけるY軸の2交点のレンジ(2Pr:単位μC/cm)を用いた。図3(b)に、Cl/O混合ガスの場合と、Cl/O/Ar混合ガスの場合とのそれぞれの残留分極値を示す。上部電極面積が625um、64um、16umの何れの場合も、Cl/Oの場合と、Cl/O/Arの場合とで残留分極に殆ど差異が無いことが分かる。
〔上部電極、強誘電体膜、下部電極からなる積層構造膜のエッチング後〕
さらに、図2(g)に示すように絶縁膜15のCVD酸化膜をCVD法を用いて形成し、エッチバック、CMP法等を用いて絶縁膜15の平坦化を行い、強誘電体キャパシタ19が形成される。その後、同図のように、トランジスタ4との接続のために、開口部16、セルブロック以外の部分の絶縁膜15をパターニングして除去し、開口部16にバリア膜17及びプラグ電極18を埋め込む。開口部16の形成、バリア膜17及びプラグ電極18の加工時のダメージは、開口部16から強誘電体キャパシタ19までの十分な距離が確保できるため問題にならない。
〔キャパシタ特性〕
・ダメージ層
ここで、強誘電体キャパシタの特性について説明する。上部電極11、強誘電体膜10及び下部電極11からなる積層構造膜のエッチング工程において強誘電体膜10が露出するとドライエッチング雰囲気の塩素ガス等による還元反応が起こる。強誘電体膜10としてのSBT系酸化物は還元反応により強誘電体の特性である誘電分極を弱める。このような誘電分極が弱い部分をダメージ層を称する。ダメージ層は、図5(a)(b)に示すように、エッチング時に雰囲気に曝される強誘電体膜の側面から内部に侵入するように強誘電体膜の周辺部に形成される。このダメージ層は、強誘電体キャパシタの面積が小面積(図5(a))であっても、大面積(図5(b))であっても、ほぼ同程度の大きさで形成されるため、高集積化のために強誘電体キャパシタを微細化するほど、強誘電体キャパシタの有効面積に対するダメージ層の割合が相対的に増大し、ダメージ層の影響が大きくなる。図5(b)(d)に示すように、強誘電体キャパシタが小面積の場合に、強誘電体キャパシタが大面積の場合に比較して残留分極量がより低くなる。
上記製造方法では、上部電極11及び下部電極9のエッチング時にウエハ温度を350〜450℃まで上げ、上部電極11及び下部電極9のエッチング速度が増大することにより、強誘電体膜10がエッチング雰囲気に曝される時間を短縮し、強誘電体膜10のダメージ層を低減することができる。
・リーク電流
次に、強誘電体膜のエッチング温度がキャパシタのリーク電流に及ぼす影響について述べる。まず、強誘電体膜のエッチング温度を制御することによってキャパシタのリーク電流を抑制できる実験、及びその実験結果を説明する。
ここでは、強誘電体膜としてSBT膜を用いた。また、強誘電体膜のエッチング温度を変えるために、350〜450℃の温度制御が可能な第1のチャンバーと、25〜350℃の温度制御が可能な第2のチャンバーとを用いて、強誘電体膜10をエッチングした。また、エッチングガスにCl/Ar/O=10/10/10sccm、ガス圧力を1mTorr、13.56MHzのRFパワーを550W、450kHzのRFパワーを120Wとする処理条件を主に用いた。評価にはキャパシタ面積が1.4um2の強誘電体キャパシタを用いた。
強誘電体膜のエッチング時にエッチング装置の下部電極温度が各々、80℃、350℃及び450℃の時のキャパシタ残留分極量およびリーク電流を図3(c)に示す。またリーク電流は、上部電極と下部電極との間に3V印加時の電流値とした。同図から明らかなように、強誘電体膜のエッチング温度を変えても残留分極量はほとんど変わらない。一方、リーク電流は、強誘電体膜のエッチング温度が80℃と350℃とでは差異がないが、強誘電体膜のエッチング温度が450℃になると増大する。
強誘電体膜のエッチング温度を450℃にした場合、強誘電体膜のエッチング時のダメージ層は、残留分極量に影響を与える劣化を起こさないものの、リーク電流を増大させる劣化を起こしていると考えられる。一方、強誘電体膜のエッチング温度を350℃以下にした場合、リーク電流にも影響を与えない程度の劣化に抑制され、リーク電流を低減できると考えられる。
上述した本実施形態では、強誘電体膜のエッチング時のウエハの温度を80〜100℃に設定することにより、リーク電流を抑制している。
・パターン側面内角
また、従来、下部電極のPt膜のエッチング時には、強誘電体膜のパターン側面内角θが90度に近いと(図4(a))、Pt膜からPt塩化物として除去された除去物質Aが強誘電体膜の側面に再付着して、上部電極及び下部電極の間が短絡する虞がある。ここで、パターン側面内角とは、図4(a)〜(c)に示すように、上部電極、強誘電体膜及び下部電極からなる積層構造膜のパターン側面が積層構造膜の底面(下部電極の底面)と成す内角である。従来、エッチング時の除去物質の再付着を防止するために、積層構造膜のエッチングでは、図4(b)に示すように、積層構造膜のパターン側面内角θを90度よりも小さい75度未満にすることにより、パターン側面に除去物質が再付着し難いようにしていた。しかしながら、同じ特性を得るにも、パターン側面内角が小さくなるほど、強誘電体キャパシタは大きな面積が必要となり、微細化が困難であった。
これに対して本実施形態では、上部電極11及び下部電極9のPt膜のエッチング時の温度を350〜450℃まで上げることにより、Pt塩化物等の除去物質が自発的に揮発するようにしたため、強誘電体膜10への除去物質の再付着が起こりにくく、積層構造膜のパターン側面の内角を80度以上にすることができる。パターン側面の内角を80度以上とすることにより、強誘電体キャパシタの形成面積を大幅に低減できる。
〔作用効果〕
本発明によれば、積層構造のキャパシタを一括してエッチングする際、ウエハまたはウエハを載置する装置下部電極の温度を350〜450℃として上部電極及び下部電極をエッチングすることにより、上部電極及び下部電極を高速でエッチングすることが可能である。また、ウエハまたはウエハを載置する装置下部電極の温度を350〜450℃として上部電極及び下部電極をエッチングすることにより、上部電極及び下部電極のエッチング時に電極材料の揮発性を向上させ、パターン内角を90度に近づけることができ、強誘電体キャパシタの形成面積を大幅に低減できる。
また、ウエハまたはウエハを載置する装置下部電極の温度を25〜350℃として強誘電体膜をエッチングすることにより、強誘電体膜にリーク電流のパスとなるような劣化が起こることを抑制し、強誘電体膜の劣化を原因とするリーク電流を抑制する。
また、本発明によれば、上記の各エッチング温度で、Clガスと、OガスあるはArガスの少なくとも一方との混合ガスを用いて上部電極、強誘電体膜及び下部電極をエッチングすることにより、強誘電体膜の特性劣化を抑制しつつ、積層構造キャパシタを一括してエッチングすることができる。
また、パターン側面内角80度以上で強誘電体キャパシタを形成することができるので、強誘電体キャパシタの小型化を図ることができる。
〔他の実施形態〕
上記実施形態では、強誘電体膜をSBTにより形成したが、本発明は、PZT(PbTiO−PbZrO:チタン酸ジルコン酸鉛)等を用いた場合にも適用可能である。
また、上記実施形態では、強誘電体膜にSBT膜、SBT膜の形成方法としてゾルゲル法を用いた場合について詳細に説明したが、SBT膜の形成方法を限定するものではなく、本発明は、CVD法などの他の形成方法によるSBT膜にも適用可能である。
また、上記実施形態では、プラグ電極8の酸化防止膜としてTiAlN膜を、密着層としてIr膜/IrO2膜を、主電極膜にPt膜からなる積層構造の下部電極9を構成したが、プラグ電極8の酸化防止膜はTiAlN膜に限定するものではなく、他の酸化防止膜を用いた場合にも本発明が適用可能である。
また、上部電極11および下部電極9をアイランド状及びストライプ状の何れに形成した場合にも、本発明は適用できる。
一実施形態に係る半導体装置の製造フローを説明する断面図(その1)。 一実施形態に係る半導体装置の製造フローを説明する断面図(その2)。 強誘電体キャパシタ特性の実験結果。 一実施形態のエッチング方法における強誘電体キャパシタのパターン側面内角と、他のエッチング方法におけるパターン側面内角との比較。 強誘電体キャパシタの面積とダメージ層の面積との関係、強誘電体キャパシタの面積と分極量との関係。 本実施形態のエッチングに使用するエッチング装置の概略平面図。
符号の説明
1 半導体基板
2 素子分離絶縁膜
3 拡散層
4 トランジスタ
5 絶縁膜
6,16 開口部
7,17 バリア膜
8,18 プラグ電極
9 下部電極
10 強誘電体膜
11 上部電極
12 第1マスク膜
13 第2マスク膜
14 レジスト
15 絶縁膜
19 強誘電体キャパシタ

Claims (12)

  1. 半導体基板に回路素子を形成するステップと、
    前記半導体基板上に前記回路素子を覆う第1絶縁膜を形成するステップと、
    前記第1絶縁膜上に第1電極を形成するステップと、
    前記第1電極上に強誘電体膜を形成するステップと、
    前記強誘電体膜上に第2電極を形成するステップと、
    前記第2電極上に所定のパターンを有するマスク膜を形成するステップと、
    前記半導体基板の温度または前記半導体基板を載置する載置電極の温度を第1温度とし、前記マスク膜をマスクとして前記第2電極をエッチングするステップと、
    前記半導体基板の温度または前記半導体基板を載置する載置電極の温度を前記第1温度以下の第2温度とし、前記マスク膜をマスクとして前記強誘電体膜をエッチングするステップと、
    前記半導体基板の温度または前記半導体基板を載置する載置電極の温度を前記第1温度と略同一の第3温度とし、前記マスク膜をマスクとして前記第1電極をエッチングするステップと、
    を含むことを特徴とする半導体装置の製造方法。
  2. 前記第2電極をエッチングするステップ、前記強誘電体膜をエッチングするステップ及び前記第1電極をエッチングするステップは連続して行うことを特徴とする、請求項1に記載の半導体装置の製造方法。
  3. 前記第1温度は350度以上450度以下であり、前記第2温度は25度以上350度以下であり、前記第3温度は350度以上450度以下であることを特徴とする、請求項1に記載の半導体装置の製造方法。
  4. 前記第2電極をエッチングするステップ、前記強誘電体膜をエッチングするステップ及び前記第1電極をエッチングするステップでは、塩素ガスと、酸素ガスあるいはアルゴンガスの少なくとも1つ以上との混合ガスを用いることを特徴とする、請求項1に記載の半導体装置の製造方法。
  5. 前記第2電極をエッチングするステップ、前記強誘電体膜をエッチングするステップ及び前記第1電極をエッチングするステップでは、前記第2電極、前記強誘電体膜及び前記第1電極の側面と前記第1電極の底面とが成す前記側面の内角が80度以上になるように前記第2電極、前記強誘電体膜及び前記第1電極をエッチングすることを特徴とする、請求項3に記載の半導体装置の製造方法。
  6. 前記マスク膜を形成するステップは、チタン窒化膜を形成するステップと、前記チタン窒化膜上にシリコン酸化膜を形成するステップを含むことを特徴とする、請求項5に記載の半導体装置の製造方法。
  7. 前記第2電極をエッチングするステップ及び前記強誘電体膜をエッチングするステップでは、前記シリコン窒化膜をマスクとしてエッチングを行い、
    前記第1電極をエッチングするステップでは、前記チタン窒化膜をマスクとしてエッチングを行うことを特徴とする、請求項6に記載の半導体装置の製造方法。
  8. 前記第1電極を形成するステップは、イリジウム膜を形成するステップと、前記イリジウム膜上にイリジウム酸化膜を形成するステップと、白金膜を形成するステップとを含むことを特徴とする、請求項7に記載の半導体装置の製造方法。
  9. 前記強誘電体膜はSBT系化合物又はPZT系化合物であることを特徴とする、請求項8に記載の半導体装置の製造方法。
  10. 前記第2の電極、前記強誘電体膜及び前記第1電極のエッチングに、第1チャンバと第2チャンバと備えるエッチング装置を使用し、
    前記第2の電極をエッチングするステップ及び前記第1電極をエッチングするステップを前記第1チャンバで実行し、
    前記強誘電体膜をエッチングするステップを前記第2チャンバで実行することを特徴とする、請求項1に記載の半導体装置の製造方法。
  11. 前記半導体基板は半導体ウエハであり、
    前記第2電極をエッチングするステップでは、前記半導体ウエハの温度又は前記半導体ウエハを載置する載置電極の温度を第1温度とし、
    前記強誘電体膜をエッチングするステップでは、前記半導体ウエハの温度又は前記半導体ウエハを載置する載置電極の温度を前記第1温度以下の第2温度とし、
    前記第1電極をエッチングするステップでは、前記半導体ウエハの温度又は前記半導体ウエハを載置する載置電極の温度を前記第1温度と略同一の第3温度とする、
    ことを特徴とする請求項10に記載の半導体装置の製造方法。
  12. 前記第1温度は350度以上450度以下であり、前記第2温度は25度以上350度以下であり、前記第3温度は350度以上450度以下であることを特徴とする、請求項11に記載の半導体装置の製造方法。
JP2004264819A 2004-09-13 2004-09-13 半導体装置の製造方法 Expired - Fee Related JP4551725B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004264819A JP4551725B2 (ja) 2004-09-13 2004-09-13 半導体装置の製造方法
US11/155,479 US7371588B2 (en) 2004-09-13 2005-06-20 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004264819A JP4551725B2 (ja) 2004-09-13 2004-09-13 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2006080408A true JP2006080408A (ja) 2006-03-23
JP4551725B2 JP4551725B2 (ja) 2010-09-29

Family

ID=36034558

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004264819A Expired - Fee Related JP4551725B2 (ja) 2004-09-13 2004-09-13 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US7371588B2 (ja)
JP (1) JP4551725B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011134966A (ja) * 2009-12-25 2011-07-07 Fujitsu Semiconductor Ltd 半導体装置の製造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3027777A1 (en) * 2013-07-31 2016-06-08 F. Hoffmann-La Roche AG Method for the recombinant production of a polypeptide in prokaryotic cells
US11227872B2 (en) 2019-04-25 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. FeRAM MFM structure with selective electrode etch

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273326A (ja) * 2002-03-15 2003-09-26 Fujitsu Ltd 半導体装置及びその製造方法
JP2003318371A (ja) * 2002-01-31 2003-11-07 Texas Instruments Inc 強誘電体メモリセルに関連するキャパシタスタックのエッチング方法
JP2004023078A (ja) * 2002-06-20 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
JP2004247584A (ja) * 2003-02-14 2004-09-02 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
JP2004274056A (ja) * 2003-03-06 2004-09-30 Texas Instruments Inc 強誘電体メモリキャパシタの形成方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5776356A (en) * 1994-07-27 1998-07-07 Sharp Kabushiki Kaisha Method for etching ferroelectric film
JP3108374B2 (ja) 1996-01-26 2000-11-13 松下電子工業株式会社 半導体装置の製造方法
JPH09251983A (ja) 1996-03-15 1997-09-22 Rohm Co Ltd ドライエッチング方法
US5792672A (en) * 1996-03-20 1998-08-11 Chartered Semiconductor Manufacturing Ltd. Photoresist strip method
JP2000133783A (ja) * 1998-10-23 2000-05-12 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6316797B1 (en) * 1999-02-19 2001-11-13 Advanced Technology Materials, Inc. Scalable lead zirconium titanate(PZT) thin film material and deposition method, and ferroelectric memory device structures comprising such thin film material
US6436838B1 (en) * 2000-04-21 2002-08-20 Applied Materials, Inc. Method of patterning lead zirconium titanate and barium strontium titanate
JP2002252211A (ja) * 2001-02-23 2002-09-06 Nec Corp 半導体装置の製造方法
US6495413B2 (en) * 2001-02-28 2002-12-17 Ramtron International Corporation Structure for masking integrated capacitors of particular utility for ferroelectric memory integrated circuits
US6541380B2 (en) * 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
US6635498B2 (en) * 2001-12-20 2003-10-21 Texas Instruments Incorporated Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
JP2003257942A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法
JP2004146772A (ja) * 2002-03-18 2004-05-20 Fujitsu Ltd 半導体装置及びその製造方法
US7045071B2 (en) * 2002-12-30 2006-05-16 Hynix Semiconductor Inc. Method for fabricating ferroelectric random access memory device
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
JP2006093451A (ja) * 2004-09-24 2006-04-06 Toshiba Corp 半導体装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003318371A (ja) * 2002-01-31 2003-11-07 Texas Instruments Inc 強誘電体メモリセルに関連するキャパシタスタックのエッチング方法
JP2003273326A (ja) * 2002-03-15 2003-09-26 Fujitsu Ltd 半導体装置及びその製造方法
JP2004023078A (ja) * 2002-06-20 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
JP2004247584A (ja) * 2003-02-14 2004-09-02 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
JP2004274056A (ja) * 2003-03-06 2004-09-30 Texas Instruments Inc 強誘電体メモリキャパシタの形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011134966A (ja) * 2009-12-25 2011-07-07 Fujitsu Semiconductor Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
US20060057744A1 (en) 2006-03-16
US7371588B2 (en) 2008-05-13
JP4551725B2 (ja) 2010-09-29

Similar Documents

Publication Publication Date Title
JP4746357B2 (ja) 半導体装置の製造方法
JP2003347517A (ja) 半導体装置及びその製造方法
KR100848240B1 (ko) 반도체 장치 및 그 제조 방법
JPH09266200A (ja) 半導体装置の製造方法
JP3994017B2 (ja) 半導体装置の製造方法
JP2000150825A (ja) 半導体装置及びその製造方法
JP2003257942A (ja) 半導体装置の製造方法
US7547638B2 (en) Method for manufacturing semiconductor device
JP3166746B2 (ja) キャパシタ及びその製造方法
US20030235944A1 (en) Semiconductor device manufacturing method
JP4551725B2 (ja) 半導体装置の製造方法
US6764896B2 (en) Semiconductor manufacturing method including patterning a capacitor lower electrode by chemical etching
JP2006005152A (ja) 強誘電体キャパシタ、強誘電体キャパシタの製造方法および強誘電体メモリの製造方法
JP2007019276A (ja) 強誘電体素子の製造方法
US20070184626A1 (en) Method of manufacturing ferroelectric capacitor and method of manufacturing semiconductor memory device
JP4865978B2 (ja) 半導体装置の製造方法
JP5242044B2 (ja) 強誘電体メモリ装置とその製造方法
JP2005142453A (ja) 半導体装置の製造方法及び半導体装置
JP4467891B2 (ja) 半導体装置の製造方法
JP2003152167A (ja) 半導体素子のキャパシタ及びその製造方法
JP2006210436A (ja) 強誘電体キャパシタの製造方法
JP2008159924A (ja) 半導体装置の製造方法
JP2003282839A (ja) 強誘電体メモリ装置の製造方法
JP2002094015A (ja) 半導体装置およびその製造方法
JP2000260956A (ja) 容量素子、その容量素子の製造方法、半導体記憶素子、および、その半導体記憶素子の製造方法

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070216

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070206

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070607

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20081126

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090204

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100329

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100706

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100712

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130716

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees