JP2004523134A - 誘電体膜の形成方法 - Google Patents

誘電体膜の形成方法 Download PDF

Info

Publication number
JP2004523134A
JP2004523134A JP2003533333A JP2003533333A JP2004523134A JP 2004523134 A JP2004523134 A JP 2004523134A JP 2003533333 A JP2003533333 A JP 2003533333A JP 2003533333 A JP2003533333 A JP 2003533333A JP 2004523134 A JP2004523134 A JP 2004523134A
Authority
JP
Japan
Prior art keywords
gas
layer
annealing
temperature
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2003533333A
Other languages
English (en)
Inventor
レビー サジー
エス.ブルーム ロビン
ケプテン アヴァシャイ
Original Assignee
マットソン テクノロジイ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マットソン テクノロジイ インコーポレイテッド filed Critical マットソン テクノロジイ インコーポレイテッド
Publication of JP2004523134A publication Critical patent/JP2004523134A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導体ウェーハのような基板上へ、高k値誘電体コーティングを堆積させる方法を提供する。一実施形態では、プロセスは基板上に窒化物層を形成することを指向する。別の実施形態では、本発明は、半導体ウェーハ上に金属酸化物またはシリケートを形成することを指向する。金属酸化物またはシリケートを形成する際、基板上へ最初にパシベーション層を堆積させる。

Description

【0001】
(関連出願)
本出願は、2000年9月19日に出願された出願番号第60/233,740号である仮出願に基づくものであり、その優先権を主張する。
【0002】
(発明の背景)
相補型金属酸化物半導体(CMOS)デバイス、MOSFET(金属酸化物半導体電界効果トランジスター)デバイスまたはDRAM(動的ランダムアクセス記憶装置)などの大容量記憶デバイスの形成に関して、シリコンウェーハなどの基板上に薄い高誘電率(高k値)のコーティングを形成することがしばしば必要である。半導体ウェーハ上にそのような薄い膜を形成するために、種々の技術が開発されている。
【0003】
従来、二酸化ケイ素でゲート誘電体層を形成している。しかしながら、上述のデバイスの小型化のために、二酸化ケイ素より高い誘電率を有するゲート誘電体に対する要求が増加している。これはゲート漏れ電流を損なうことなしに、超薄の酸化物相当厚(20Å未満)を達成するために必要である。ある実施形態では、当業者は、従来の二酸化ケイ素層に代えて窒化物層を用いる可能性を探求している。
【0004】
例えば、誘電体膜を形成する1つの従来方法では、オキシ窒化物層を基板上に最初に形成し、次いで酸素含有雰囲気または不活性雰囲気においてアニールし、誘電体層を生成する。例としては、Sunらの米国特許第5,880,040号において、そのような従来方法の1つが述べられている。特に、Sunらは、シリコン基板の加熱された表面をNOに曝露し、該表面上にSiO層を成長させ、該層中に高濃度の窒素を取り込む誘電体層の製造方法について述べている。次に、前述の層を加熱し、NOに曝露し、SiO層とシリコン基板との間の界面域にシリコンと窒素との結合の形成を生じさせる。次いで、窒素などの不活性ガスの存在下で、前述の層をアニールする。この方法はいくつかの利益を有しているかもしれないが、その中の相対的に低い窒素含有量のため、得られた膜の誘電率「k値」は、特定の用途に対して充分なほど大きくないことがしばしばである。
【0005】
さらにいくつかの方法が開発されている。例えば、ゲートスタックとして知られる他の従来方法は誘電体膜の形成を伴い、該方法においてはベース酸化物層をシリコン基板上に形成し、次いでゲート誘電体を堆積させ、続いてゲート接点材料を堆積させる。ゲートスタックに認められる1つの問題は、推定される酸化物の厚さが100nm技術のノードに対して2nm未満であり、50nm技術のノードに対して1nm未満であることである。従来の二酸化ケイ素は、トンネル電流による認容し得るゲート電流漏れを有して、そのような寸法まで縮小化することはできないと信じられている。このような厚さの範囲での推定される二酸化ケイ素のトンネル電流は、数桁の大きさで、大きすぎると予想される。トンネル電流の減少を達成するために、高誘電率を有する材料が必要とされる。
【0006】
このように、現在、基板上へ高k値コーティングを堆積させる改良された方法に関する必要性が存在する。
【0007】
(本発明の概要)
本発明の一実施形態において、基板上に誘電体コーティングを堆積させる方法であって、基板を収容するのに適合した反応容器および基板を加熱するための反応容器と接続されるエネルギー源を含むシステムを含有する方法を提供する。例えば、一実施形態では、前述の基板は半導体ウェーハであり得る。
【0008】
反応容器内で、約4を越える誘電率を有する窒化物膜を基板上に形成することができる。例えば、該膜を形成する1つの方法は、以下の工程を包含することができる:
a)エネルギー源を用いて、基板を酸化物堆積温度に加熱する工程。
【0009】
b)基板が酸化物堆積温度にある間に、反応容器に酸化物ガスを供給する工程。該酸化物ガスは、少なくとも1つの窒素原子を含有する化合物を含み、そのような酸化物ガスを基板と反応させ基板上にオキシ窒化物層を形成させるようにする。例えば、いくつかの実施形態では、窒素含有化合物は、NO、NO、NOおよびそれらの組み合わせからなる群から選択される。
【0010】
c)基板が窒化物堆積温度に維持されている間に、オキシ窒化物層上に窒化物層を堆積させる工程。例えば、いくつかの実施形態では、第1のガス前駆体および第2のガス前駆体を反応容器に供給することによって、窒化物層を堆積させることができる。いくつかの実施形態では、第1のガス前駆体は、少なくとも1つのケイ素原子を含有する化合物(例えば、SiH、SiH、SiHClなど)を含み、第2のガス前駆体は、少なくとも1つの窒素原子を含有する化合物(例えば、NH、NOなど)を含む。
【0011】
前述の膜を形成する方法は、窒化アニーリングガス(例えばNH)の存在化で窒化物層をアニーリングする工程並びに酸化物アニーリングガス(例えばNO)の存在下で窒化物層をアニーリングする工程をさらに含むことができる。
【0012】
一実施形態において、形成される層の表面粗さを最小限にするために、オキシ窒化物層を、10Å未満の厚さを有するように形成することができる。さらに窒化物層を約750℃未満の温度で堆積させることができ、そして次に酸化物アニーリングガスの存在下において770℃を越える温度で該窒化物層をアニーリングすることができる。形成される窒化物層は、約25Å未満の厚さを有し得る。
【0013】
窒化物堆積の前に薄いオキシ窒化物層を形成するために、約50Torr未満の圧力、特には約25Torr未満の圧力を有する雰囲気中で、オキシ窒化物層を形成することができる。
【0014】
前述のとおり、酸化物アニーリングガスの存在下で窒化物層をアニーリングする工程に加えて、窒化アニーリングガスの存在下でさらに窒化物層をアニールする。窒化アニーリングガスの存在下でアニールするときに、温度は、約875℃から約925℃までであり得る。
【0015】
上述の窒化物層は、種々のデバイスにおいて用いることができる。例えば、窒化物層を、コンデンサーに、あるいはトランジスターに組み込むことができる。
【0016】
別の実施形態では、窒化物層を形成する代わりに、本発明は、半導体ウェーハ上に金属酸化物またはシリケート層を形成することを指向する。この実施形態では、本発明の方法は、窒素含有ガスの存在下でシリカを含むウェーハを加熱し、ウェーハ上にパシベーション層を形成する工程を包含する。該窒素含有ガスはアンモニアであることができる。パシベーション層は、約5ナノメートル未満、特には1ナノメートル未満の厚さを有することができる。パシベーション層は、約600から約900℃までの温度で約10秒未満内に形成され得る。パシベーション層形成中、窒素含有ガスの分圧は約100Torr未満であり得る。
【0017】
本発明によれば、その後の酸化物層の形成を防ぐために、パシベーション層が形成される。
【0018】
パシベーション層形成後、ガス前駆体存在下で該ウェーハを加熱し、金属酸化物またはシリケートを有する誘電体層を形成することができる。約300℃を越える温度で、特には約400℃から約800℃までの温度で誘電体層を形成することができる。誘電体層の形成中、ガス前駆体は、約100Torr未満の分圧を有することができる。誘電体層は、例えばHfO、ZrO、Al、Ta、Laまたはそれらのシリケートであり得る。
【0019】
誘電体層を形成後、アニーリングガスの存在下でウェーハをアニールすることができる。アニーリングガスは不活性ガスおよび酸素含有ガスを包含し得る。アニーリングガスは、例えば窒素、アルゴンまたはそれらの混合物であり得る。一方、酸素含有ガスは、NO、NO、Oまたはそれらの混合物であり得る。
【0020】
一度形成すると、誘電体層は、1.2ナノメートル未満のEOTを有することができる。該誘電体層は種々のデバイスに用いることができる。一実施形態において、次に、ポリシリコン層を、該誘電体層の上面に堆積させることができる。
【0021】
本発明の方法の全ては、ウェーハを高温まで急速に加熱する急熱加工チャンバー内で、実施することができる。前述の全ての層は、所望される別個の加熱サイクル中に形成され得る。
【0022】
以下において、本発明の他の特徴および態様をより詳細に論ずる。
【0023】
本発明のベストモードを含み、当分野における通常の技術を有する者に向けられる本発明の完全かつ充分な開示を、明細書の残部において、添付する図面を用いてより詳しく述べる。
【0024】
本明細書および図面において繰り返し使用する参照記号は、本発明の同じまたは類似の特徴または要素を表すことを意図する。
【0025】
(典型的な実施形態の詳細な説明)
本論は、単なる典型的な実施形態の説明であり、本発明のより広い態様を限定するものではなく、より広い態様が例示的構成において実施されるということは、当業者によって理解されるべきである。
【0026】
本発明は、一般的に、基板上に比較的高い誘電率「k値」を有するコーティングを堆積させる方法を指向する。例えば、本発明に従って形成されるーティングの誘電率は、典型的には約4より大きく、いくつかの実施形態では約10より大きく、またいくつかの実施形態では約15より大きい。例えば、本発明に従って形成されるコーティングは、約5から約100の間の誘電率を有してもよいし、いくつかの実施形態においては約15から約20の間の誘電率を有してもよい。さらに、得られる高k値コーティングは、一般的に約30ナノメートル未満の厚さを有することができる。例えば、デバイスを形成する際、得られる厚さは、典型的には約1から約20ナノメートルの間であり、いくつかの実施形態では約1から約10ナノメートルである。
【0027】
以下により詳細に述べるように、本発明は、一般的に、高い誘電率を有するゲート誘電体層の形成を指向する。CMOSデバイスなどの種々のデバイスを、小さいEOTを有して、本発明によって製造することができる。一実施形態において、本発明は、窒化物スタック、特にはゲート誘電体用途のためのSi/SiOスタックの形成を指向する。別の実施形態では、本発明は、ゲート誘電体の形成において半導体ウェーハ上に高K値金属酸化物またはシリケートコーティングを堆積させることを指向する。本発明に従い形成される金属酸化物またはシリケートコーティングは、デバイス性能および信頼性を低下させる界面酸化物層の形成を最小化させつつ、自己整列2重ゲートポリSi CMOS技術に適合する。該デバイスは、小さいEOT値および減少した漏れ電流を有して作製される。
【0028】
一般的に、本発明においては、基板上へ誘電体コーティングを堆積させるために使用することが可能ないずれのチャンバーまたは容器も使用することができる。例えば、従来の化学蒸着容器を、本発明の方法に関する使用に適合させることができる。しかしながら物理蒸着、プラズマ強化化学蒸着、スパッタリングなどに用いられる容器のような、他の技術に用いられる他の容器も、本発明において用いることができるということを理解すべきである。
【0029】
図1を参照すると、化学蒸着を利用し、基板上へ誘電体コーティングを堆積させるシステム10の1つの具体的な実施形態が図示されている。図示するとおり、システム10は、半導体ウェーハ14などの基板を受容するのに適合した反応容器12を包含する。図示するとおり、ウェーハ14は、石英などの断熱材から作製される基板ホルダー15上に設置される。加工の間中、一実施形態では、基板ホルダー15は、ウェーハ回転機構を用いてウェーハ14を回転させるのに適合することができる。ウェーハ14を回転させることは、ウェーハ14の表面全体の温度均一性を促進させ、ウェーハ14と反応容器12に導入されるガスとの間の増大される接触およびガス均一性を促進させる。しかしながら、反応容器12は、ウェーハに加えて、光学部品、膜、ファイバー、リボンなどの他の基板を加工することにも適合するということを理解すべきである。
【0030】
反応容器12は、非常に速い速度で、かつ注意深く制御される条件下でウェーハ14が加熱されるように設計される。例えば金属およびセラミックを包含する種々の材料で、反応容器12を作製することができる。例えば、いくつかの実施形態では、ステンレス合金または石英から反応容器12を作製することができる。反応容器が熱伝導性材料から作製される場合、典型的には、冷却システムを包含する。例えば図1に示されるように、反応容器12は、反応容器12の周囲を包む、または反応容器12の空孔内に収容される冷却管16を包含する。
【0031】
図示するとおり、装置10内にエネルギー源22をさらに与える。詳細には、エネルギー源22は、エネルギーを放出して加工中にウェーハ14を加熱するために反応容器12に接続して配置される。一般的に種々の加熱装置をエネルギー源22として利用することができる。例えば、エネルギー源22は、光、レーザー(例えば窒素レーザー)、紫外線照射加熱装置、アークランプ、高紫外線光出力を有する水銀などの蒸気ランプ、フラッシュランプ、赤外線照射装置、電気抵抗性ヒーター、それらの組み合わせなどを包含することができる。さらに、エネルギー源22のスペクトル形状および/または特定の性質(例えば強度、偏光性、連続放射性および/またはパルス放射性の放射線)を変化させ、特定のプロセスに適合させることができる。例えば、エネルギー源22のスペクトルの形状を、時間との関数として、および/またはウェーハ14またはウェーハ14上の膜の特性(例えば、膜および/またはウェーハ14の温度、ウェーハ14上に堆積される膜の厚さ、または膜またはウェーハ14の任意の他の物理的または化学的パラメーター)の関数として制御することができる。
【0032】
図示した実施形態では、例えば、エネルギー源22は複数のランプ24を包含する。ランプ24は、タングステン−ハロゲンランプなどの白熱電球であり得る。さらにエネルギー源22は、ランプ24によって放出されるエネルギーを、ウェーハ14上へ均一に導くための一台の反射器または一組の反射器を包含することができる。図1に示すように、ランプ24はウェーハ14の上方に配置されている。しかしながら、ランプ24を任意の特定の場所に配置してよいということを理解すべきである。例えば、ランプをウェーハ14の上方および/または下方で利用してもよい。さらに、所望であれば、追加のランプをシステム10内に包含することもできし、あるいは少ないランプをシステム10に包含することもできる。
【0033】
システム10は、エネルギー源22とウェーハ14との間に配置され、あらかじめ選択される波長のエネルギーを通過させることのできるウインドウ32をさらに含むこともできる。例えば、いくつかの実施形態では、ウインドウ32は、特定の波長の光を通過させ、同時に他の波長を吸収することによってフィルターとして機能することができる。また、いくつかの実施形態では、ウインドウ32はフィルターとして機能しなくてもよい。
【0034】
加熱サイクル中のウェーハ14の温度を監視するために、一実施形態では、反応容器12は、多数の放射線感知装置27を含むことができる。放射線感知装置27は、例えば複数の光ファイバー、レンズ、光導波管などを包含することができる。例えば図示した実施形態では、照射感知装置は、複数の対応する温度検知器30に接続される光導波管28を包含する。一実施形態では、例えばウェーハ14によって特定の波長で放出される熱エネルギーを受容するために光ファイバー28を配置する。次いで、感知された放射線の量が温度検知器30に接続され、プランクの法則に部分的に基づいて計算することのできるウェーハの温度を測定するために利用可能な電圧信号を発生させる。一実施形態では、温度検知器30に組み合わせられるそれぞれの光ファイバー28は、高温計を含む。別の実施形態では、光ファイバー28は、単独であるが多重化を行う放射線感知装置に配線される。
【0035】
放射線感知装置を用いるのに加えて、他の温度感知装置を本発明のシステムにおいて用いてもよい。例えば、1箇所でまたは複数の箇所でウェーハ14の温度を監視するために、1または複数の熱電対を本システムに組み込んでよい。熱電対は、ウェーハ14に直接接触して配置することができ、あるいはウェーハ14から離れた位置(そこから温度を推定できる)に配置することもできる。
【0036】
反応容器12に、ウェーハ14上に誘電体コーティングを形成するための1つまたは複数のガスを容器に導入するために少なくとも1つの注入口18をさらに含む。例えば図で示すように、反応容器12に2以上の別個のガスを供給するために、注入口18は、ライン72を通してガス供給源70およびライン74を通してガス供給源80に連通し得る。一実施形態では、ウェーハ全体にガスを拡散させるために、ガス注入口にシャワーヘッドを連結させることができる。一定時間後に反応容器12からガスを放出させるために、反応容器12は少なくとも1つの放出口20をさらに包含する。1つの注入口18および放出口20のみが図示されているが、容器にガスを供給するために、容器12は任意の数の注入口および放出口を含有してよいということを理解すべきである。
【0037】
一実施形態では、反応容器は、VATから購入されるゲートバルブのようなゲートバルブを含有することができ、該ゲートバルブはチャンバーを操作部から分離させることができる。チャンバー内の真空は、機械式粗引きポンプを用いて達成することができる。圧力は、バルブによって制御することができる。ターボ分子ポンプの使用によって、10−3Torr未満の高真空を得ることができる。
【0038】
(窒化物スタックの形成)
図2を参照して、図1に示した化学蒸着システムを用いてウェーハ14上に窒化物の誘電体コーティングを形成するための本発明の方法の一実施形態を、より詳細に述べる。しかしながら、本発明の方法に、他の方法および他の堆積技術を用いることもできるということを理解すべきである。例えば、1つの好適な化学蒸着システムが、Loanらの米国特許第6,136,725号において記載されており、すべての目的に関し参照によりその全体が本明細に組み込まれる。
【0039】
まず、エネルギー源22を用いてウェーハ14を特定の酸化物堆積温度に加熱する。ウェーハ14を所望の酸化物堆積温度に維持しながら、反応容器12に対して、特定の堆積時間および特定の流量にて、注入口18を通して酸化物ガスを供給する。例えば図1に示すとおり、ガス供給源70からライン72を通してガス注入口18に1または複数のガスを供給することができる。酸化物ガスの流量は変化し得ることができるが、典型的には約50cm/分(標準状態)から約10L/分(標準状態)までである。さらに一実施形態では、平均約10秒間にわたって酸化物ガスを供給する。形成される層の厚さを約15Å以下(例えば10Å以下)にすることができる。
【0040】
本発明では、種々の酸化物ガスを利用することができる。特に、ウェーハ上に比較的高い誘電率を有するベース酸化物層を形成することのできるいずれの酸化物ガスも、本発明において利用することができる。例えば、いくつかの好適なガスは、一酸化窒素(NO)、亜酸化窒素(NO)、酸化窒素(NO)などのような、窒素も含有するガスを含む。それゆえ、上述のような窒素含有酸化物ガスを利用する場合、形成されるベース酸化物層は一般的に、一般式SiOを有するオキシ窒化物構造である。
【0041】
さらに、利用する基板、利用する酸化物ガス、および/または堆積されるコーティングの所望の特性に基づいて、具体的なの酸化物堆積温度は変化し得る。例えば、酸化物堆積温度は典型的には比較的低く、ベース酸化物層の厚さが最小化(6から9Å)され、それによって得られる膜の誘電率を増加させられる。例えば、シリコンウェーハ上へベース酸化物層を堆積させる場合、ウェーハの温度は、典型的には約1100℃未満に維持され、いくつかの実施形態では600℃から約1100℃までの間に、いくつかの実施形態では750℃未満に、いくつかの実施形態では約600℃から約750℃までの間に、および一実施形態では約700℃に維持される。さらにオキシ窒化物堆積中の反応容器圧力は、典型的には約1Torrから約760Torrの間にする。
【0042】
上述した実施形態において、ベース酸化物層内に存在する窒素含有量は、層の誘電率を著しく増加させることができ、それによって得られる装置の電気的性質を向上させる。窒素含有量を増加させるために、酸化工程の圧力を減少させるべきであることを見出した。従って、窒素含有量を増加させるために、圧力を100Torr未満、詳細には50Torr未満、およびより詳細には25Torr未満にすべきである。
【0043】
本発明のこの実施形態では、窒化物層をベース酸化物層上に堆積させる。一般的には、化学蒸着などのいずれの公知の窒化物堆積法も本発明に利用することができる。例えば、1つの好適な化学蒸着システムが、Royらの米国特許第6,177,363号に記載されており、全ての目的に関して参照によりその全体が本明細書に組み込まれる。さらに一実施形態において、ウェーハ上へ窒化物層を堆積させるために、図1の化学蒸着を利用することができる。例えば、1または複数の窒化物前駆体ガスを、特定の時間および特定の流量にて、注入口18を通して反応容器12に供給してもよい。一実施形態では、図1に示すとおり、1つのガス供給源(図示せず)からライン74を通してガス注入口18に1つの窒化物前駆体ガスを供給し、同時に別のガス供給源(図示せず)からガス注入口18に別の窒化物前駆体ガスを供給する。窒化物前駆体ガスの流量は変化し得るが、典型的には、約50cm/分(標準状態)から約10L/分(標準状態)までの間である。さらに一実施形態では、窒化物前駆体ガスを、約20Åの厚さに到達するまで(典型的には20〜50秒)供給する。
【0044】
一般的には、窒化物層を形成することのできる種々の前駆体ガスのいずれのものも、本発明において利用することができる。例えば、1つの窒化物ガス前駆体がケイ素を含有し(例えばSiH、SiHCl、SiHなど)、同時に別のガス前駆体が窒素(例えばNHなど)を含有することができる。したがって、例えばSiHガス前駆体とNHガス前駆体とがウェーハの表面上で反応し、化学式Siを有する窒化物層を形成することができる。
【0045】
窒化物堆積時間中、エネルギー源22によってウェーハ14を特定の温度に維持する。例えば、窒化物堆積温度は約900℃未満、いくつかの実施形態では600℃から約750℃までの間であり得る。一実施形態において、約750℃未満に温度を維持することが、形成される層の荒さを低下させることができることを見出した。さらに、窒化物前駆体ガスの供給中、反応容器圧力は、典型的には約760Torr未満であり、いくつかの実施形態では約100Torr未満である。
【0046】
窒化物コーティングを形成した後、次いで、1つまたは複数の追加のアニーリングガスに曝露し、窒素含有量を増加させ、水素を除去し、層の欠陥を減少させる。例えば、図1に示すとおり、所望の窒化物堆積時間後、ポンプ(図示せず)を用いて放出口20を通して反応容器12から窒化物ガスを除去することができる。次いで、特定時間および特定流量にて、注入口18を通して窒素含有アニーリングガス(例えばNH)を反応容器12に供給し、窒化物層の窒素含有量を増加させてよい。窒素含有アニーリングガスの流量は変化し得るが、典型的には約50cm/分(標準状態)から約10L/分(標準状態)までである。
【0047】
窒化アニーリング時間中、エネルギー源22によってウェーハ14を特定の窒化温度に加熱する。例えばいくつかの実施形態では、ウェーハ14の窒化温度は、約1100℃未満、いくつかの実施形態では、600℃から約1100℃の間であることができる。本発明者らは、窒化アニーリング温度を低下させることにより、表面あらさを低下させることができることを見出した。これに関して、あらさを減少させるためには、温度は約900℃未満であるべきであり、特には約850℃未満であるべきである。一方、窒素含有量を最大化させるためには、温度は約875℃から約925℃の範囲内であるべきであり、特には約905℃の温度であるべきである。窒素含有アニーリングガスの供給中、反応容器圧力は、典型的には約1Torrから約760Torrまでの間であり、一実施形態では約500Torrである。
【0048】
ひとたび窒化ガスでアニールしたならば、次いで、窒化物層を酸化物ガスでアニールし、該層から水素を除去することができる。例えば、図1に示すとおり、所望の窒化アニーリング時間後、窒素含有アニーリングガスを、ポンプ(図示せず)を用いて反応容器12から放出口20を通して除去することができる。次いで、特定時間、および特定流量にて注入口18を通して反応容器12に酸化物アニーリングガス(例えばNO、NOなど)を供給してよい。酸化物アニーリングガスの流量は変化し得るが、典型的には約50cm/分(標準状態)から約10L/分(標準状態)までである。
【0049】
酸化物アニーリング時間中、エネルギー源22によってウェーハ14を特定の酸化物温度に加熱する。例えばいくつかの実施形態では、ウェーハ14の酸化物アニーリング温度は約1100℃未満であり、いくつかの実施形態では600℃から約1100℃の間である。あらさを減少させるために、酸化物アニーリング温度は、750℃を越えるようなより高温であるべきである。しかしながら、窒素含有量を増加または最大化させるためには、温度はより低く、特には約700℃未満であるべきである。酸化物アニーリングガスの供給中、反応容器圧力は、典型的には約1Torrから約760Torrまでの間であり、一実施形態では約500Torrである。
【0050】
また所望されるならば、特定のあらかじめ選択された特性を有する誘電体コーティングを製造するために、上述の方法の種々のパラメーターを制御してよい。堆積条件およびアニーリング条件を制御するために、種々の機構を利用することができる。例えば本発明の一実施形態では、図1に示すとおり、システム1はシステムコントローラー50を含むことができる。システムコントローラー50は、システム10の種々の構成部分からの、あるいはオペレーターからの入力シグナルを受け、これらのシグナルに基づき、システム10の具体的パラメーターを制御することができる。コントローラー50は、アレン−ブラッドリーコントロールロジックスプロセッサー(Allen−Bradley Controllogix Processor)のようなプログラム可能なロジックコンピューター(PLC)であることができるが、一般的には上述のシステム10を制御するのに好適ないずれの他のコントローラーも認容し得る。代わりに、回路、中継器、ソフトウェアなどでPLCを置き換えて、コントローラー50として用いることが可能であろう。
【0051】
例えば一実施形態において、システムコントローラー50は、種々の場所でサンプリングされる放射線量を表す、温度検知器30からの電圧シグナルを受信する。受信したシグナルに基づいて、別の場所のウェーハ14の温度を算出するために、コントローラー50を設計する。さらにシステムコントローラー50を、図1に示すとおり、ランプ出力コントローラー25に接続することもできる。この設備では、コントローラー50はウェーハ14の温度を測定することができ、そして、この情報に基づいて、エネルギー源22によって放射される熱エネルギーの量を制御することができる。このような方法において、注意深く制御される限度内でウェーハ14を加工するための反応容器内の条件に関して、比較的瞬時の調整を行うことができる。
【0052】
例えば上述のように、システムコントローラー50を、温度検知器30およびエネルギー源22と一緒に利用し、反応容器12内の温度を所定の堆積またはアニーリング温度に調整することができる。あらかじめ設定された堆積またはアニーリング時間後の、温度を自動的に調整してもよい。さらに、上述のような1つまたは複数のサイクルに対して、コントローラー50を用いて、堆積またはアニーリング温度を変化させることもできる。
【0053】
また、コントローラー50を用いて、システム10の他の構成部分を自動的に制御することもできる。例えば、コントローラー50を用いて、ガス注入口18を通して反応容器12に入るガスの流量を制御することができる。図示するとおり、ガス供給源70および80それぞれからのガスの流量を制御するために、システムコントローラー50をバルブ76および78(例えばソレノイドバルブ)に接続することもできる。例えばいくつかの実施形態では、温度検知器30からの温度測定値を受けるようにコントローラー50を設計することができる。したがって、特定の反応サイクル中にある温度になった場合は、上述のとおり、システムコントローラー50がバルブ76および/または78を開いて、所定の流量において反応容器12に1つまたは複数のガスを供給することができる。システムコントローラーは、システム10からの、あるいはプログラマーからの種々の入力シグナルに基づいて、ガスの流量を調整することができる。
【0054】
上述のとおり窒化物スタック(Si/SiO)を形成後、該スタックを、トランジスター内のMOSコンデンサーなどの上述のような種々のデバイス中で用いることができる。一実施形態ではスタック形成後、ポリシリコン堆積およびPOCLドーピングを行うことができる。さらに、PMOSウェーハにおいてBHを用いてホウ素イオン注入を行い、続いてアニールすることができる。トランジスタ構造体内に用いた際には、上述のスタックは、4×10−3A/cm@−1.5Vの優れた漏れ電流、14.6ÅのEOTを示した。
【0055】
(金属酸化物およびシリケートを含有する高k値ゲート誘電体コーティングの形成)
【0056】
本発明のこの実施形態は、金属酸化物およびシリケートを含有する誘電体層の形成を指向する。ゲート誘電体層は、酸化物―シリコン界面とゲート接点材料との間の半導体ウェーハ上に配置される。本発明に従い形成されたゲート誘電体は、小型デバイスでの使用に特によく適している。
【0057】
本発明者らは、シリコンMOSチャンネルと高k値誘電体との間の界面層が、1nm未満の等価酸化物厚(EOT)を達成するための最も決定的な特徴の1つであるということを理解した。これまでは、慣用的に、酸化物層は、シリコン表面とゲート誘電体との間に形成された。そのような酸化物層は、意図して形成されたか、あるいはアニーリング中に形成されたかのどちらかであった。例えば、最高k値の誘電体は、酸素に対して劣悪な拡散隔壁であり、それはアニーリング中に界面酸化物層を成長させる。しかしながらこの界面酸化物層の存在は、EOTを増加させ、高k値誘電体の効果を減少させる。さらにアニーリング中の高k値誘電体とシリコン基板との間のいずれの反応も、EOTを増加させ、界面状態を発生させ、移動度を低下させ、漏れ電流を著しく増加させる。
【0058】
本発明のこの実施形態は、小さいEOTを有するCMOSデバイス構造体を指向する。具体的には、本発明は、デバイス性能および信頼性を低下させる界面酸化物層の形成を最小化させることにより、従来の自己整列2重ゲートポリSi CMOSに適合した高k値ゲート誘電体の製造を可能とする。本発明に従い製造されるデバイスは、著しく減少した漏れ電流とともに、1.2nm未満のEOTを有する。
【0059】
本発明は、この実施形態において、初期にシリコン基板上に超薄パシベーション層をin−situ形成することによって、高k値ゲート誘電体の形成中並びに堆積後アニーリング中の界面酸化物層の形成を回避する。超薄パシベーション層は、クリーニングされたシリコン表面をNHなどの窒素含有雰囲気に制御可能かつ短期間で曝露することによって形成する。形成される層は、5nm未満の厚さを有し、特には1nm未満の厚さを有する。パシベーション層を形成した後に、金属酸化物または金属シリケートなどの高k値ゲート誘電体の堆積を行う。
【0060】
本発明の方法は、高k値金属酸化物またはシリケートコーティングを堆積させるために用いることができ、該金属はアルミニウム、ハフニウム、タンタル、チタン、ジルコニウム、イットリウム、ケイ素、ランタンおよびそれらの組み合わせなどである。例えば、本発明の方法は、シリコンから作製される半導体ウェーハ上に、酸化アルミニウム(Al)、酸化タンタル(Ta)、酸化チタン(TiO)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、酸化イットリウム(Y)、酸化シリコンジルコニウム(SiZrO)、酸化ランタン(La)、他の相応するシリケートなどのような金属酸化物の薄いコーティングを堆積させるのに利用可能である。例えば酸化タンタルは、典型的には、約15から約30までの間の誘電率を有するコーティングを形成する。
【0061】
この実施形態では、所望されるならば、比較的高いk値のコーティングを堆積させるために、最初に基板をクリーニングする。クリーニング後、アンモニアのよな窒素を含有するガスによって基板上にパシベーション層を形成する。次いで、パシベーション層上で高k値誘電体の堆積を行う。制御可能な量の酸素を含有する窒素またはアルゴン含有雰囲気中で、高k値誘電体層をin−situアニーリングにかけ、誘電体特性を向上させる。該方法は、非常に薄いEOTおよび非常に高い品質を備える高k値誘電体を生成する。
【0062】
一般的に、基板上に誘電体コーティングを堆積させるために利用することのできるいずれのチャンバーまたは容器も、誘電体層を形成するために利用することができる。例えば従来の化学蒸着容器を、本発明の方法での使用に適合させることができる。例えば図1に示したシステムを、上述の方法に用いることができる。あるいはまた、急熱加工が組み込まれるクラスターツールシステム(cluster tool system)を用いることもできる。クラスターツールの一例を図3に示した。
【0063】
ここで、金属酸化物または金属シリケートを含有する誘電体層を形成するためのプロセスの一実施形態をより詳細に述べる。本発明のプロセスの実施形態を、図4および5において概略的に示す。図に示し、かつ先に述べたとおり、最初にシリコンウェーハをクリーニングする。一般的に、いずれの好適なクリーニング方法も用いることができる。例えば一実施形態では、稀フッ化水素酸中に基板を浸漬し、続いて脱イオン水または他の溶液中で基板をすすぐことによって、シリコンの表面を準備することができる。
【0064】
ひとたびウェーハをクリーニングしたならば、次いで、窒化物障壁膜で作成されるパシベーション層を、基板に付着させる。該窒化物膜は、ウェーハの表面を、アンモニア含有雰囲気のような窒素含有雰囲気に曝露することによって形成される。形成される窒化物層は、5nm未満、特には1nm未満のように非常に薄くあるべきである。例えば、一実施形態では、約600℃から約900℃までの温度で、約0.1から約10秒間にわたって、基板をアンモニアに曝露することができる。
【0065】
アンモニアを反応チャンバーに導入する前には、ベース圧力を約1から約1×10−9Torrの範囲であるべきであり、より低いベース圧力が好ましい。パシベーション層成長中のアンモニアの分圧は、約0.1から約100Torrの範囲にすることができる。パシベーション層を形成した後、所望されるならば、基板を冷却し、アンモニアを加工チャンバーから排出させることができる。
【0066】
次に、高k値誘電体層をパシベーション層上に形成する。高k値誘電体層を形成するため、特定の堆積時間、特定の流量および特定の温度において、ガス前駆体を反応容器に供給する。ガス前駆体流量は変化し得るが、典型的には約1cm/分(標準状態)から約1L/分(標準状態)までの間である。ガス前駆体は、単独で、あるいは不活性ガス(例えばアルゴンまたは窒素)のようなキャリヤーガスと一緒にのどちらかで、反応容器に供給することができる。
【0067】
一般的に、本発明において種々のガス前駆体を利用して、高誘電率「k値」を有するコーティングを形成することができる。特に、ウェーハ上に高k値コーティングを形成することができるいずれのガス前駆体も、本発明において利用することができる。例えば、いくつかの好適なガス前駆体は、アルミニウム、ハフニウム、タンタル、チタン、シリコン、イットリウム、ジルコニウム、それらの組み合わせなどを含有するガスを含む。
【0068】
いくつかの例では、有機金属化合物の蒸気を上述の前駆体として用いることができる。このような有機金属ガス前駆体のいくつかの例は、トリ−l−ブチルアルミニウム、アルミニウムエトキシド、アルミニウムアセチルアセトナート、ハフニウム(IV)t−ブトキシド、ハフニウム(IV)エトキシド、テトラブトキシシラン、テトラエトキシシラン、ペンタキス(ジメチルアミノ)タンタル、タンタルエトキシド、タンタルメトキシド、タンタルテトラエトキシアセチルアセトナート、テトラキス(ジエチルアミノ)チタン、チタンt−ブトキシド、チタンエトキシド、トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)チタン、トリス[N,N−ビス(トリメチルシリル)アミド]イットリウム、トリス(2,26,6−テトラメチル−3,5−ヘプタンジオナート)ジルコニウム、ビト(シクロペンタジエニル)ジメチルジルコニウムなどを含むが、それらに限定されるものではない。
【0069】
本発明に関して利用される場合、上述のような有機金属前駆体は、ウェーハ基板上に1または複数の金属酸化物層を形成することができる。
【0070】
金属酸化物またはシリケートの堆積中、温度は約400℃から約800℃であることができる。約0.1から約100秒の継続時間で、堆積を行うことができる。堆積中の分圧は、約0.1から約100Torrの範囲であることができる。
【0071】
高k値誘電体層の堆積の後、残存するいずれのガス前駆体もチャンバーから除去することができる。次いで、堆積後アニールを行う。同じチャンバー内でアニールを行うこともできるし、あるいはウェーハをRTPモジュールに移すこともできる。
【0072】
堆積後アニールの加工条件は、約0.1から約100秒の継続時間にわたる約400℃から約900℃の間の温度を含む。アニールは、分子状窒素もしくはアルゴンまたはNO、NOおよびOのような酸素含有ガスとそれらの混合物の雰囲気において行われる。チャンバー内の圧力は、約0.1から約700Torrであることができる。
【0073】
プロセス中、界面制御をするために、1つの反応容器または複数の反応容器を、10−9から10−3Torrのような非常に高い真空で維持し、チャンバー内に酸素が入らないことを確実にできることが望ましい。このプロセスをとおして、非常に低いEOTおよび非常に低い漏れ電流を有する層が形成される。例えば、5×10−4A/cm@V=−1Vの漏れ電流とともに約7.87ÅのEOTを有するゲートスタックを製造することができる。
【0074】
(実施例)
(実施例1)
相補形金属酸化物半導体(CMOS)デバイスの小型化は、二酸化ケイ素よリ高い誘電率を有するゲート誘電体に対する要望を増加させてきている。これは、ゲート漏れ電流を劣化させることなく超薄酸化物等価厚(20Å未満)を達成するために必要である。以下の実験を実施して、ゲート誘電体用途に関するSi/SiOの使用を検討した。検討した物理的性質は、あらさ、窒素含有量、および物理的厚さである。コンデンサーおよびトランジスターの電気的性質も検討した。
【0075】
LOCOSアイソレーションを用いてp型エピシリコンウェーハ上でNMOSコンデンサーを製造した。PMOSコンデンサーを同様に製造し、ホウ素浸入抑制を確認した。本発明の譲受人が販売するIntegraPro(登録商標)−Rapid Thermal CVD Cluster Toolを用いて二酸化ケイ素/窒化物ゲートスタックを製造した。
【0076】
最初に、RT−CVDチャンバーにおいて、800℃のNO雰囲気中で、で10秒間にわたってウェーハを酸化した。次いで、700から800℃のNHおよびSiHを用いて、25から50秒間にわたって、20Åの窒化ケイ素を堆積させた。CVD窒化物の堆積後、該サンプルを700から900℃において30秒間にわたって、NH雰囲気中でアニールし、続いてNO雰囲気でアニールした。表1は、各加工工程に対する加工条件を示す。次いで、ポリシリコン堆積およびPOCLドーピングのため、該サンプルをLPCVD炉に移した。OMOSウェーハの場合には、BHを用いてホウ素イオン注入を行い、続いてRTPアニールを行った。
【0077】
【表1】
Figure 2004523134
【0078】
誘電率−式(1)により、酸化された窒化物に関する誘電率を計算する。
【0079】
ε_actual=(x/EOT)ε_SiO (1)
【0080】
式中、
Xは、物理的厚さであり
EOTは、QM効果およびポリ空乏化効果を包含する電気的酸化物厚さであり
ε_SiOは、誘電率SiO(3.9)である。
【0081】
式(1)で使用するための物理的厚さは、TEMで評価し、23Åであった。QM効果およびポリ空乏化効果を包含するEOTは、図6に示すように5ヶ月間にわたって得られた。酸化物等価厚さは、コンデンサー構造体に関するC−V測定からサンプリングした。
【0082】
式(1)を用いて、電気的酸化物厚さと物理的厚さとを比較すると、熱酸化物の誘電率より1.45倍高い5.6の誘電率が得られる。
【0083】
膜あらさの減少は、低い漏れ電流、破壊のための高荷電、および高い信頼性という良好な電気的性質を維持する。上述の結果の有効性を調べるために、あらさの再現性を確認した。図7は750℃の堆積温度でのCVDに関するあらさ再現性を表す。ウェーハに関して得られる標準偏差は8%である。
【0084】
ex−situ分析を用いて、窒化物膜を試験した。膜の分析に先立つステージング時間を調べ、測定結果に何等かの影響を及ぼすか否かを決定した。図8から分かるとおり、サンプルのステージングによるあらさの有意な変化はない。
【0085】
それぞれの加工工程の後、図9に示すとおり、膜全体の微小なあらさに対する該工程の影響について、あらさを分析した。
【0086】
図9を参照すると、第一の酸化工程は、膜あらさに有意に寄与しないことがわかる。CVD工程が膜あらさの主要因である。
【0087】
時間依存性に注目することによって、CVD工程のあらさをさらに精査した(図10)。ウェーハ加工は、NO酸化を含み、続いてCVD窒化物堆積を行った;アニールは行われなかった。
【0088】
またCVD温度も、膜あらさの制御において重要な因子である。図11では、同じ厚さの膜を、異なる堆積温度における表面あらさに関して比較した。同じ厚さを達成するために、堆積時間を調整した。
【0089】
2つの異なる温度における窒化ケイ素膜の成長の初期ステージでのAFM画像を撮った。AFM画像は、より高い温度があらさ増加にもたらす傾向にあることを示した。さらに、AFM画像は、異なる粒径を明らかにする。粒子は、より高い温度でより大きい。より高い温度では、表面上の原子は延長された拡散距離を有し、そしてより低エネルギーのサイトに移動して、より大きい粒子を形成すると思われる。拡散距離の増加につれて、physorbed原子は、新しいクラスターを形成するよりも、既存のクラスターに結合する傾向が強い。得られたものは、より小さい粒子密度およびより大きいサイズである。
【0090】
図12は、異なる酸化物膜上に堆積される際の、CVD膜の微小あらさを示す。CVD堆積パラメーターを一定に保ちながら、異なる圧力で、酸化物を成長させた。より薄い酸化物は、CVD窒化物の微小あらさを減少させた。NO圧力を低下させると酸化物厚が減少する。この厚さの状況(6Å〜9Å)では、酸化物は、異なる表面ダングリングボンド密度をその厚さの関数として表すのに十分な薄さである。SiとSiO2との間の界面は5Å(2ML)の幅を有する。ダングリングボンド密度が高ければ、膜成長の初期ステージ中に形成されるアイランドは、より小さくかつより接近する。このことが、膜の微小あらさをより低くする。
【0091】
次に、異なる温度に変化させることによって、異なるNHアニーリング条件による膜あらさを試験した。図13から分かるように、アンモニアアニール温度の増加により、膜あらさが増加する。
【0092】
最後に、膜微小あらさに対するNOアニールの効果を検討した。NOアニール温度の増加が、より低い膜微小あらさをもたらすということを見出した。約750℃で、膜微小あらさ関する大きな変化がある。この温度は、膜粘度が減少し、膜を流動させる転移温度であると信じられる。アンモニアアニーリング温度が上昇するにつれて、膜微小あらさ増加が増大するという点は特筆に価する(図13)。これに対して、NOアニールに関しては、得られる傾向は正反対である。より堅固でない分子ネットワークを有する膜は、より低い粘性を示す。O−Si−Oネットワークは、Si−O−Nネットワークよりも大きな自由度を有する。酸化雰囲気NO下で、膜の酸素含有量が増加し、その結果、分子ネットワークは、それほど堅固でなくなる。膜の流動はより容易になり、それによりあらさが減少する。さらに、アンモニアアニールは、主として、高い窒素体ケイ素比率を有する膜サイト上で反応している。選択的に反応することにより、あらさが増すと信じられている。図14は、この粘性効果および得られる膜微小あらさの予想外の減少を示す。
【0093】
薄SiOの縮小化の根本的な限界は、直接のトンネル効果による大きい漏れ電流である。より高い誘電率を有するシリコン窒化物/酸化物スタックを用いることは、同じキャパシタンスを維持しながら、物理的により厚い膜であることによって直接トンネル効果を低下させるはずである。酸化物/窒化物層の誘電率は窒素濃度の増加に伴い単調に増加する。
【0094】
XPSを用いて、膜の材料組成を特徴付けた。また膜の物理的厚さもXPSで試験し、TEMとの良好な相互関係をもたらした。XPS測定によって測定される際の絶対窒素含有量は、実施より小さく見積もられる。これは、TEMとC−Vカーブとの組み合わせで測定したときには誘電率が5.4であるのに対して、XPSによって測定した窒素含有量により計算した誘電率4.9であることを比べれば理解できる。XPS測定値は相対値に過ぎない。
【0095】
第一の試験は、NO酸化工程における圧力の効果を測定するためのものであって。図15は、得られた膜の組成および厚さを示した。酸化圧力が1から100Torrまで増加したとき、窒素含有量は、14.2から9.5%まで減少する。
【0096】
堆積温度を変化させることによって、窒化物堆積工程を試験した。図16は窒素含有量および物理的厚さを示す。試験結果は、堆積温度の変化によって窒素含有量は有意に変化しないということを示す。しかしながら、堆積温度が増加するにつれて、物理的厚さは増加する。
【0097】
次に、アンモニア工程を試験した。図17は、異なるアンモニアアニーリング温度による窒素含有量を示す。
【0098】
図18において、物理的厚さを見ることができる。アンモニア温度が増加するにつれて、厚さが増加する。
【0099】
次の試験。NOアニーリング温度の関数としての窒素含有量を試験した。NO温度とともに、窒素減少が増加した。より短い滞留時間を有する熱システム中での分解中、NOによって原子状酸素が生成される。
【0100】
O→N+O+ΔH=38.3kcal/mol(2)
【0101】
原子状酸素は、Si−NH、Si=NH基の一部分である[−NH]および[−NH]と反応する。原子状酸素は、膜の大部分において[−NH]および[=NH]と置き換わる。その結果、図19を参照すると、膜中の水素含有量および窒素含有量が減少する。
【0102】
最後に、我々は図18に見られるように、NOアニーリング温度の関数としての膜厚を試験した。
【0103】
酸化物等価厚を、C−Vプロットからサンプリングした。またゲート漏れ電流も測定した。その結果を、図22に見られるようなマスターカーブ上にプロットする。新しい加工条件に対する基準として、マスターカーブを用いる。正規化電流密度を、式3によって定義する。
【0104】
漏れ比=Ig_measured(EOT=x)/Ig_mastr_plt
(EOT=x) (3)
【0105】
式中、
Ig_measuredは、測定される膜がx(C−Vカーブから測定される)のEOTを有する場合の、蓄積(NMOSコンデンサー上の−2.5V)時に測定される漏れ電流であり、
Ig_mastr_plt(EOT=x)は、xであるEOTにおいて、図22の窒化物カーブから予測される漏れ電流である。
【0106】
電流輸送機構は、低電界におけるDTトンネル効果および高電界におけるFNが支配的である。この電流輸送機構の結果、式(4)から分かるように、漏れ電流対EOTをプロットすると、指数関数が予測される。式(4)は、単一層誘電体におけるトンネル確率である。
【0107】
P(Veff、EOT)=exp[−2*EOT*a*(m*{E−Vbeff})0.5
(4)
【0108】
式中、
a−は、定数であり
−は、電子トンネル質量であり
Eb−は、トンネル効果に関する実効的な均一の障壁高さである。
【0109】
膜が低い欠陥密度を有し、RNトンネル式によって推定され得るということを証明するために、FN相関関係をプロットした(図21)。窒化物膜は、FNトンネル機構を示す。
【0110】
最小量の漏れ電流およびEOTを達成する目的で、大きなDOEを実施した。我々は加工工程を最適化し、図22に見られるように、14.5ÅのEOTおよび酸化物よりも2桁近く改善された大きさのゲート漏れ電流を有する膜を達成した。
【0111】
飽和電流を最適化することは、トランジスターにとって重要な問題である。支配的な影響を見出すために、種々のパラメーターの範囲を試験した。キャリヤー移動度をサンプリングし、かつこの結果と二酸化ケイ素を比較することによって、データを規格化した。
【0112】
μNormalized=μSi3N4peak(E)/μSiO2(E)
【0113】
式中、μSi3N4peak(E)は、電界Eでの測定値(C−VおよびId−Vg)からサンプリングした酸化物/窒化物のピークの移動度であり、
μSiO2(E)は、電界Eでの酸化物の一般的な移動度である。
【0114】
Matthiessen’s ruleを用いて、電界に対する移動度のデータを分析することにより、移動度低下の主要な原因が、クーロン散乱であることを明らかにした。したがって、EOTは、NO温度が増加しても有意に変化せず、それゆえより高いキャリヤー移動度を達成するために、より高いNO温度を用いることが望ましい(図23参照)。
【0115】
(結論)
原子間力顕微鏡(AFM)を用いて、本プロセスを通したアイランド成長からもたらされるあらさを特徴付けた。第一に、酸化物を測定し、そして1.4ÅのRMSを有し、非常に平滑であることを見出した。窒化ケイ素の堆積後、あらさが増加した。NOオキシ窒化物が薄いほど、より平滑な窒化ケイ素が堆積されることを見出した。より薄いNO酸化物は、より厚いCVD窒化物をもたらした。同様に、CVD温度を低下させることも、窒化ケイ素あらさを減少させた。CVD窒化物堆積に続くNHアニーリングは、不均質膜のニトロ化によりあらさを増加させた。最後に、NOアニールが、著しくあらさを低下させた。
【0116】
XPSを用いて、膜の窒素、酸素およびケイ素の含有量を評価した。NO温度の増加が窒素含有量を低下させ、一方NH温度の増加が窒素含有量を増加させるということを見出した。
【0117】
TEMおよびXPSを用いて、膜の物理的厚さを調べた。XPSとTEMとの相関を確立した後に、物理的厚さの測定のためXPSを用いた。アニーリング温度が上昇するときに、物理的厚さが増加するということを見出した。
【0118】
コンデンサー構造体を用いて、EOTおよび漏れを最適化した。我々は、CVD温度を低下させ、かつアニールを最適化することによってEOTおよび漏れを向上させた。
【0119】
トランジスター構造体を用いて、陽電荷および飽和電流を分析した。
【0120】
良好な移動度を有し、14.6ÅのEOTで、−1.5Vにおいて4×10−3A/cmの優れた漏れ電流を、トランジスター構造体で実証した。
【0121】
(実施例2)
この実施例では、p型Si(100)基板を用いた。抵抗率0.02オーム−cmまで、ホウ素を用いて基板をドープした。抵抗率10オーム−cmを有する基板上で、エピタキシャルSi層を成長させた。膜堆積前に、30秒にわたって基板を希HF中に浸漬し、脱イオン水ですすいだ。基板をRT−CVDチャンバー内に置き、次いで、10−4Torrの圧力まで排気し、1−10Torrの圧力までNHガスを充填した。基板を700〜800℃まで加熱し、オキシ窒化物パシベーション層を形成した。次いで、基板を50sccmでのNのキャリヤーガス中のHf前駆体用のハフニウムターシャリーブトキシド(C1636Hf)および5Torrの分圧のOを用い、400〜700℃において10秒間にわたる急熱MOCVDにさらした。5×10−5cmから10−3cmまで変動するゲート領域を有するデバイスを、パターン化した。Al/TiNおよびポリSiをゲート電極として用いた。
【0122】
デバイスの電気的性質をゲート電圧の関数として測定した。図24は、この方法で製造したHfOゲートスタックが、優れた漏れ電流(J=5×10−4A/cm@V=−1V)を伴うEOT=7.87Aをもたらすことを示す。本発明は、本明細書で述べた具体的な実施形態に制限されるものではない。多くの潜在的な高k値ゲート誘電体がこのin−situ RTCVD法により利益を受けるであろう。
【0123】
これら並びに本発明の適合および変形は、本発明の真髄および範囲を逸脱することなく、当業者によって実施されるであろう。加えて、種々の実施形態の態様を、全体的または部分的の両方において、交換してよいことを理解すべきである。さらに当業者は、先の記述は単なる例示であり、本発明を制限するものではなく、さらには、添付した特許請求の範囲の記載を制限するものではないということを理解するであろう。
【図面の簡単な説明】
【図1】
本発明の一実施形態において利用し得る急熱化学蒸着システムの断面図である。
【図2】
本発明の方法の一実施形態のフローチャートである。
【図3】
本発明にしたがって用いることができるRT−CVDモジュールの上面図である。
【図4】
本発明の方法の一実施形態の流れ図である。
【図5】
本発明の方法の別の実施形態の流れ図である。
【図6】
実施例1で得られた結果を示すグラフである。
【図7】
実施例1で得られた結果を示すグラフである。
【図8】
実施例1で得られた結果を示すグラフである。
【図9】
実施例1で得られた結果を示すグラフである。
【図10】
実施例1で得られた結果を示すグラフである。
【図11】
実施例1で得られた結果を示すグラフである。
【図12】
実施例1で得られた結果を示すグラフである。
【図13】
実施例1で得られた結果を示すグラフである。
【図14】
実施例1で得られた結果を示すグラフである。
【図15】
実施例1で得られた結果を示すグラフである。
【図16】
実施例1で得られた結果を示すグラフである。
【図17】
実施例1で得られた結果を示すグラフである。
【図18】
実施例1で得られた結果を示すグラフである。
【図19】
実施例1で得られた結果を示すグラフである。
【図20】
実施例1で得られた結果を示すグラフである。
【図21】
実施例1で得られた結果を示すグラフである。
【図22】
実施例1で得られた結果を示すグラフである。
【図23】
実施例1で得られた結果を示すグラフである。
【図24】
以下の実施例2で得られた結果を示すグラフである。

Claims (33)

  1. i)基板を収容するよう適合された反応容器と、前記容器に収容される基板を加熱するための前記反応容器に接続されるエネルギー源とを含むシステムを提供する工程、および
    ii)前記基板上に膜を形成する工程であって、
    a)前記エネルギー源を用いて基板を加熱する工程;
    b)基板を加熱している間に、酸化物ガスを前記反応容器に供給する工程であって、前記酸化物ガスが前記基板と反応して、前記基板上にオキシ窒化物層を形成するような少なくとも1つの窒素原子を含有する化合物を含み、前記形成される層が10Å未満の厚さを有する工程;
    c)前記オキシ窒化物層上に窒化物層を堆積させる工程であって、前記堆積が約750℃未満の温度で行われる工程;
    d)窒化アニーリングガスの存在下で前記窒化物層をアニーリングする工程;および
    e)約770℃を超える温度で酸化物アニーリングガスの存在下、前記窒化物層をアニーリングする工程
    を含む方法に従って、前記膜を形成する工程
    を含むことを特徴とする基板上に誘電体コーティングを堆積させる方法。
  2. 前記膜が、約4から約80の間の誘電率を有することを特徴とする請求項1に記載の方法。
  3. 前記窒素含有化合物がNOを含むことを特徴とする請求項1に記載の方法。
  4. 前記反応容器に第1のガス前駆体および第2のガス前駆体を供給することによって前記窒化物層を形成し、前記第1のガス前駆体は少なくとも1つのケイ素原子を含有する化合物を含み、かつ前記第2のガス前駆体は少なくとも1つの窒素原子を含有する化合物を含むことを特徴とする請求項1に記載の方法。
  5. 前記第1のガス前駆体が、SiHを含むことを特徴とする請求項4に記載の方法。
  6. 前記第2のガス前駆体が、NHを含むことを特徴とする請求項4に記載の方法。
  7. 基板が、シリコンを含む半導体ウェーハであることを特徴とする請求項1に記載の方法。
  8. 前記オキシ窒化物層が50Torr未満の圧力を有する雰囲気中で形成されることを特徴とする請求項1に記載の方法。
  9. 前記窒化物層が25Å未満の厚さを有することを特徴とする請求項1に記載の方法。
  10. 前記窒化アニーリングガスがアンモニアであることを特徴とする請求項1に記載の方法。
  11. 前記酸化物アニーリングガスがNOであることを特徴とする請求項1に記載の方法。
  12. 前記窒化アニーリングガスの存在下における前記窒化物層のアニーリングを、約875℃から約925℃までの温度で実施することを特徴とする請求項10に記載の方法。
  13. 酸化物ガスの存在下で、シリコンを有する半導体ウェーハを加熱し、前記酸化物ガスがNOを含み、前記酸化物ガスが前記半導体ウェーハと反応して前記ウェーハ上にオキシ窒化物層を形成し、前記オキシ窒化物層が、約10Å未満の厚さを有する層を形成するのに充分な温度、圧力および時間で形成される工程;
    次いで第1のガス前駆体および第2のガス前駆体の存在下で前記半導体ウェーハを加熱して、前記オキシ窒化物層の上に窒化物層を形成し、前記第1ガスの前駆体はSiHを含み、前記第2ガスの前駆体はアンモニアを含み、前記窒化物層は約750℃未満の温度で形成され、前記窒化物層は約25Å未満の厚さを有する工程:
    アンモニアの存在下で前記窒化物層をアニーリングする工程;および
    その後、NOの存在下、少なくとも770℃の温度で前記窒化物層をアニーリングする工程
    を含むことを特徴とする基板上へ誘電体コーティングを堆積させる方法。
  14. 前記窒化物層を、前記アンモニアの存在下で約875℃から約925℃までの温度でアニールすることを特徴とする請求項13に記載の方法。
  15. 前記窒化物層の上面にポリシリコン層を堆積させる工程をさらに含むことを特徴とする請求項13に記載の方法。
  16. 前記誘電体コーティングをコンデンサに組み込む工程をさらに含むことを特徴とする請求項13に記載の方法。
  17. 前記誘電体コーティングをトランジスタに組み込む工程をさらに含むことを特徴とする請求項13に記載の方法。
  18. 窒素を含有するガスの存在下でシリカを含むウェーハを加熱し、前記ウェーハ上にパシベーション層を形成する工程;
    次いでガス前駆体の存在下で前記ウェーハを加熱する工程であって、前記ガス前駆体は前記ウェーハ上に金属酸化物またはシリケートを含む誘電体層を形成し、前記誘電体層は約300℃を超える温度で形成される工程;および
    アニーリングガスの存在下で前記誘電体層をアニーリングする工程であって、前記アニーリングガスは不活性ガスおよび酸素含有ガスを含む工程
    を含むことを特徴とする半導体ウェーハ上に誘電体コーティングを形成する方法。
  19. 前記パシベーション層が約5ナノメートル未満の厚さを有することを特徴とする請求項18に記載の方法。
  20. 前記パシベーション層を形成するのに用いられる前記窒素を含有するガスが、アンモニアを含むことを特徴とする請求項18に記載の方法。
  21. 前記パシベーション層を、約600から約900℃の温度において、約10秒未満以内に形成することを特徴とする請求項20に記載の方法。
  22. 前記パシベーション層の形成中、前記アンモニアの分圧が約100Torr未満であることを特徴とする請求項20に記載の方法。
  23. 前記誘電体層が、約400から約800℃までの温度で形成され、かつ前記パシベーション層の形成中、前記ガス前駆体が100Torr未満の分圧を有することを特徴とする請求項18に記載の方法。
  24. 前記誘電体層を、約400℃から約900℃までの温度でアニールすることを特徴とする請求項18に記載の方法。
  25. 前記アニーリング工程中に存在する前記酸素含有ガスが、NO、NO、Oまたはそれらの混合物を含むことを特徴とする請求項18に記載の方法。
  26. 前記不活性ガスが、窒素、アルゴンまたはそれらの混合物を含むことを特徴とする請求項25に記載の方法。
  27. 前記誘電体層が、HfO、ZrO、Al、Ta、Laまたはそれらのシリケートを含むことを特徴とする請求項18に記載の方法。
  28. シリカを含む半導体ウェーハ;
    前記ウェーハ上に堆積されているパシベーション層であって、アンモニアを前記半導体ウェーハの表面と反応させることによって形成されるパシベーション層;
    前記パシベーション層上に形成されている誘電体層であって、金属酸化物またはシリケートを含む誘電体層;および
    前記誘電体層が、酸素含有ガスの存在下でアニールされていること
    を含むことを特徴とする半導体ウェーハ上の誘電体コーティング。
  29. 前記パシベーション層が5ナノメートル未満の厚さを有することを特徴とする請求項28に記載の誘電体コーティング。
  30. 前記誘電体層が、HfO、ZrO、Al、Ta、Laまたはそれらのシリケートからなる群より選択される材料を含むことを特徴とする請求項28に記載の誘電体コーティング。
  31. 誘電体コーティングが1.2ナノメートル未満のEOTを有することを特徴とする請求項28に記載の誘電体コーティング。
  32. 前記パシベーション層が、1ナノメートル未満の厚さを有することを特徴とする請求項28に記載の誘電体コーティング。
  33. 前記誘電体層が、HfOを有することを特徴とする請求項28に記載の誘電体コーティング。
JP2003533333A 2000-09-19 2001-09-19 誘電体膜の形成方法 Ceased JP2004523134A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23374000P 2000-09-19 2000-09-19
PCT/US2001/029831 WO2003030242A1 (en) 2000-09-19 2001-09-19 Method of forming dielectric films

Publications (1)

Publication Number Publication Date
JP2004523134A true JP2004523134A (ja) 2004-07-29

Family

ID=22878503

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003533333A Ceased JP2004523134A (ja) 2000-09-19 2001-09-19 誘電体膜の形成方法

Country Status (8)

Country Link
US (1) US6638876B2 (ja)
EP (1) EP1340247B1 (ja)
JP (1) JP2004523134A (ja)
KR (1) KR100848423B1 (ja)
CN (2) CN100442454C (ja)
AT (1) ATE489726T1 (ja)
DE (1) DE60143541D1 (ja)
WO (1) WO2003030242A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006140374A (ja) * 2004-11-15 2006-06-01 Fujitsu Ltd 半導体装置の製造方法
JP2020077893A (ja) * 2015-08-26 2020-05-21 株式会社Screenホールディングス 熱処理方法

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2002023614A1 (ja) * 2000-09-18 2004-01-22 東京エレクトロン株式会社 ゲート絶縁体の成膜方法、ゲート絶縁体の成膜装置、クラスターツール
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6821873B2 (en) * 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US6645882B1 (en) 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US6693004B1 (en) 2002-02-27 2004-02-17 Advanced Micro Devices, Inc. Interfacial barrier layer in semiconductor devices with high-K gate dielectric material
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6642573B1 (en) 2002-03-13 2003-11-04 Advanced Micro Devices, Inc. Use of high-K dielectric material in modified ONO structure for semiconductor devices
US6617639B1 (en) 2002-06-21 2003-09-09 Advanced Micro Devices, Inc. Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) * 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) * 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US6940117B2 (en) * 2002-12-03 2005-09-06 International Business Machines Corporation Prevention of Ta2O5 mim cap shorting in the beol anneal cycles
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7300890B1 (en) 2003-07-17 2007-11-27 Midwest Research Institute Method and apparatus for forming conformal SiNx films
JP2007507112A (ja) * 2003-09-26 2007-03-22 サイプレス セミコンダクター コーポレイション 酸化物−窒化物スタックゲート誘電体
JP4629325B2 (ja) * 2003-10-17 2011-02-09 東京エレクトロン株式会社 トランジスタの製造方法
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4296128B2 (ja) * 2004-06-23 2009-07-15 株式会社東芝 不揮発性半導体メモリ装置及びその製造方法
KR100721576B1 (ko) 2005-04-06 2007-05-23 삼성에스디아이 주식회사 유기 전계 발광 소자 제조 방법
US7504700B2 (en) * 2005-04-21 2009-03-17 International Business Machines Corporation Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
US20060291833A1 (en) * 2005-06-01 2006-12-28 Mattson Techonology, Inc. Switchable reflector wall concept
JP5032056B2 (ja) * 2005-07-25 2012-09-26 株式会社東芝 不揮発性半導体メモリ装置の製造方法
JP5017950B2 (ja) * 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7518145B2 (en) * 2007-01-25 2009-04-14 International Business Machines Corporation Integrated multiple gate dielectric composition and thickness semiconductor chip and method of manufacturing the same
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US20090152636A1 (en) 2007-12-12 2009-06-18 International Business Machines Corporation High-k/metal gate stack using capping layer methods, ic and related transistors
US7976216B2 (en) * 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US20090311877A1 (en) * 2008-06-14 2009-12-17 Applied Materials, Inc. Post oxidation annealing of low temperature thermal or plasma based oxidation
CN101685766B (zh) * 2008-09-23 2011-09-07 中芯国际集成电路制造(上海)有限公司 增加热处理反应室利用率的方法
US7981808B2 (en) * 2008-09-30 2011-07-19 Freescale Semiconductor, Inc. Method of forming a gate dielectric by in-situ plasma
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
EP2674513B1 (en) 2009-05-13 2018-11-14 SiO2 Medical Products, Inc. Vessel coating and inspection
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
FR2952183A1 (fr) * 2009-10-30 2011-05-06 St Microelectronics Crolles 2 Detecteur de matiere biologique ou chimique et matrice de detecteurs correspondante
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
JP2012039088A (ja) * 2010-06-17 2012-02-23 Imec シリコン表面をパッシベーションする方法
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US9099461B2 (en) 2012-06-07 2015-08-04 International Business Machines Corporation Method of manufacturing scaled equivalent oxide thickness gate stacks in semiconductor devices and related design structure
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085346A1 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
WO2014164928A1 (en) 2013-03-11 2014-10-09 Sio2 Medical Products, Inc. Coated packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
CN103456838A (zh) * 2013-08-29 2013-12-18 东莞南玻光伏科技有限公司 太阳能电池钝化膜的制作方法及太阳能电池的制作方法
SG11201600440VA (en) * 2013-11-06 2016-02-26 Mattson Tech Inc Novel mask removal process strategy for vertical nand device
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US9663547B2 (en) * 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US10490475B2 (en) * 2015-06-03 2019-11-26 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation after oxide removal
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
CN106894000B (zh) * 2015-12-18 2020-03-13 中芯国际集成电路制造(上海)有限公司 石英管的防护方法
KR102551237B1 (ko) * 2016-12-22 2023-07-03 어플라이드 머티어리얼스, 인코포레이티드 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4984180A (ja) * 1972-11-21 1974-08-13
JPS5984570A (ja) * 1982-11-08 1984-05-16 Nec Corp 半導体装置用キヤパシタの製造方法
JPS60153158A (ja) * 1984-01-23 1985-08-12 Oki Electric Ind Co Ltd キャパシタ誘電体膜の製造方法
JPS6135548A (ja) * 1984-07-27 1986-02-20 Hitachi Ltd 半導体装置の製造方法
JPS61133636A (ja) * 1984-12-03 1986-06-20 Fujitsu Ltd 半導体装置の製造方法
JPS62254434A (ja) * 1986-04-28 1987-11-06 Sharp Corp SiO↓2/SiN/SiO↓2膜の成膜方法
JPH0714986A (ja) * 1993-06-22 1995-01-17 Toshiba Corp 半導体装置の製造方法及びその製造装置
JPH08213611A (ja) * 1995-02-07 1996-08-20 Sony Corp 半導体装置の製造方法及び半導体装置
JPH10189587A (ja) * 1996-11-26 1998-07-21 Texas Instr Inc <Ti> 複合誘電体層及び同形成方法
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
JP2000049349A (ja) * 1998-07-15 2000-02-18 Texas Instr Inc <Ti> 集積回路に電界効果デバイスを製造する方法
JP2000195856A (ja) * 1998-12-30 2000-07-14 Hyundai Electronics Ind Co Ltd 半導体素子のゲ―ト酸化膜形成方法
JP2000269483A (ja) * 1999-03-19 2000-09-29 Fujitsu Ltd 半導体装置の製造方法
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
JP2001189390A (ja) * 1999-12-28 2001-07-10 Sony Corp 半導体不揮発性記憶装置の製造方法
WO2002023614A1 (fr) * 2000-09-18 2002-03-21 Tokyo Electron Limited Procede de formation d'un film d'isolant de grille, appareil pour la formation d'un film d'isolant de grille et outil combine

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585492A (en) * 1984-07-30 1986-04-29 International Business Machines Corporation Rapid thermal annealing of silicon dioxide for reduced hole trapping
US4725560A (en) * 1986-09-08 1988-02-16 International Business Machines Corp. Silicon oxynitride storage node dielectric
KR940009597B1 (ko) 1991-08-22 1994-10-15 삼성전자 주식회사 반도체장치의 게이트산화막 형성법
CN1052569C (zh) 1992-08-27 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US5478765A (en) 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US5939763A (en) * 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5891798A (en) 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5966624A (en) * 1997-07-29 1999-10-12 Siemens Aktiengesellschaft Method of manufacturing a semiconductor structure having a crystalline layer
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6080682A (en) * 1997-12-18 2000-06-27 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
JPH11220095A (ja) 1998-01-30 1999-08-10 Sony Corp 誘電体キャパシタの製造方法
US6136725A (en) 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
US6191011B1 (en) 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
US6177363B1 (en) 1998-09-29 2001-01-23 Lucent Technologies Inc. Method for forming a nitride layer suitable for use in advanced gate dielectric materials
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6326231B1 (en) * 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
JP3530416B2 (ja) 1999-04-21 2004-05-24 Necエレクトロニクス株式会社 半導体メモリ装置の製造方法
JP3439370B2 (ja) 1999-04-21 2003-08-25 Necエレクトロニクス株式会社 半導体メモリ装置の製造方法
US6337289B1 (en) 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US6287897B1 (en) 2000-02-29 2001-09-11 International Business Machines Corporation Gate dielectric with self forming diffusion barrier
EP1275139B1 (en) * 2000-04-17 2011-07-27 Mattson Technology Inc. Uv pretreatment process of ultra-thin oxynitride for formation of silicon nitride films
US6333557B1 (en) 2000-09-12 2001-12-25 International Business Machines Corporation Semiconductor chip structures with embedded thermal conductors
US6300203B1 (en) 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4984180A (ja) * 1972-11-21 1974-08-13
JPS5984570A (ja) * 1982-11-08 1984-05-16 Nec Corp 半導体装置用キヤパシタの製造方法
JPS60153158A (ja) * 1984-01-23 1985-08-12 Oki Electric Ind Co Ltd キャパシタ誘電体膜の製造方法
JPS6135548A (ja) * 1984-07-27 1986-02-20 Hitachi Ltd 半導体装置の製造方法
JPS61133636A (ja) * 1984-12-03 1986-06-20 Fujitsu Ltd 半導体装置の製造方法
JPS62254434A (ja) * 1986-04-28 1987-11-06 Sharp Corp SiO↓2/SiN/SiO↓2膜の成膜方法
JPH0714986A (ja) * 1993-06-22 1995-01-17 Toshiba Corp 半導体装置の製造方法及びその製造装置
JPH08213611A (ja) * 1995-02-07 1996-08-20 Sony Corp 半導体装置の製造方法及び半導体装置
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
JPH10189587A (ja) * 1996-11-26 1998-07-21 Texas Instr Inc <Ti> 複合誘電体層及び同形成方法
JP2000049349A (ja) * 1998-07-15 2000-02-18 Texas Instr Inc <Ti> 集積回路に電界効果デバイスを製造する方法
JP2000195856A (ja) * 1998-12-30 2000-07-14 Hyundai Electronics Ind Co Ltd 半導体素子のゲ―ト酸化膜形成方法
JP2000269483A (ja) * 1999-03-19 2000-09-29 Fujitsu Ltd 半導体装置の製造方法
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
JP2001189390A (ja) * 1999-12-28 2001-07-10 Sony Corp 半導体不揮発性記憶装置の製造方法
WO2002023614A1 (fr) * 2000-09-18 2002-03-21 Tokyo Electron Limited Procede de formation d'un film d'isolant de grille, appareil pour la formation d'un film d'isolant de grille et outil combine

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006140374A (ja) * 2004-11-15 2006-06-01 Fujitsu Ltd 半導体装置の製造方法
JP2020077893A (ja) * 2015-08-26 2020-05-21 株式会社Screenホールディングス 熱処理方法

Also Published As

Publication number Publication date
US6638876B2 (en) 2003-10-28
CN1459126A (zh) 2003-11-26
ATE489726T1 (de) 2010-12-15
DE60143541D1 (de) 2011-01-05
CN100442454C (zh) 2008-12-10
KR100848423B1 (ko) 2008-07-28
CN1638061A (zh) 2005-07-13
KR20030063341A (ko) 2003-07-28
EP1340247A4 (en) 2007-12-26
WO2003030242A1 (en) 2003-04-10
EP1340247A1 (en) 2003-09-03
US20020142624A1 (en) 2002-10-03
CN100342500C (zh) 2007-10-10
EP1340247B1 (en) 2010-11-24

Similar Documents

Publication Publication Date Title
JP2004523134A (ja) 誘電体膜の形成方法
Chang et al. Rapid thermal chemical vapor deposition of zirconium oxide for metal-oxide-semiconductor field effect transistor application
US6348420B1 (en) Situ dielectric stacks
JP5005170B2 (ja) 超高品質シリコン含有化合物層の形成方法
KR100512824B1 (ko) 반도체 장치의 제조 방법
Chang et al. Ultrathin zirconium oxide films as alternative gate dielectrics
US20070077777A1 (en) Method of forming a silicon oxynitride film with tensile stress
JPH01204435A (ja) 集積回路の製造方法
JP3914362B2 (ja) タンタル酸化膜を備えたキャパシタ製造方法
TW577934B (en) Method of preparing a silicon, oxygen and nitrogen containing film on a substrate comprising silicon
EP1540718A2 (en) Method of forming and/or modifying a dielectric film on a semiconductor surface
JP2004507071A (ja) 急速熱N2処理による、Si(100)上の超薄窒化物の成長
Yu et al. UV annealing of ultrathin tantalum oxide films
JP4224044B2 (ja) 半導体装置の製造方法
JP4032889B2 (ja) 絶縁膜の形成方法
KR19990088398A (ko) 예정된종의입자를고체속에위치시키는방법및생성된구조물
Caymax et al. Issues, achievements and challenges towards integration of high-k dielectrics
WO2004012237A2 (en) Methods of forming interfacial layers for high-k gates by ozone oxidation
LUJAN et al. ISSUES, ACHIEVEMENTS AND CHALLENGES TOWARDS INTEGRATION OF HIGH-K DIELECTRICS M. CAYMAX, S. DE GENDT, W. VANDERVORST, M. HEYNS H. BENDER, R. CARTER, T. CONARD, R. DEGRAEVE, G. GROESENEKEN, S. KUBICEK, G.
CHEN et al. ISSUES, ACHIEVEMENTS AND CHALLENGES TOWARDS
Alpizar Nucleation and growth of dielectric films on III-V semiconductors during atomic layer deposition
WO2004010465A2 (en) Thin dielectric formation by steam oxidation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080918

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120220

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121116

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20130322