JPH01204435A - 集積回路の製造方法 - Google Patents

集積回路の製造方法

Info

Publication number
JPH01204435A
JPH01204435A JP63320802A JP32080288A JPH01204435A JP H01204435 A JPH01204435 A JP H01204435A JP 63320802 A JP63320802 A JP 63320802A JP 32080288 A JP32080288 A JP 32080288A JP H01204435 A JPH01204435 A JP H01204435A
Authority
JP
Japan
Prior art keywords
layer
oxide
substrate
integrated circuit
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP63320802A
Other languages
English (en)
Other versions
JPH0626211B2 (ja
Inventor
Raymond H Doklan
レイモンド エイチ ドクラン
Jr Edward P Martin
エドワード ポール マーティン ジュニア
Pradip K Roy
プラディップ カマー ロイ
Scott F Shive
スコット フランシス シャイブ
Ashok K Sinha
アショク カマー シンハ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
American Telephone and Telegraph Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by American Telephone and Telegraph Co Inc filed Critical American Telephone and Telegraph Co Inc
Publication of JPH01204435A publication Critical patent/JPH01204435A/ja
Publication of JPH0626211B2 publication Critical patent/JPH0626211B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野コ 本発明は薄い酸化物領域を仔する半導体集積回路の製造
方法および該方法により製造された集積回路に関する。
[従来の技術] 集積回路の複雑性が高まるにつれて、回路内のデバイス
の寸法は必然的に小さくなる。実際、集積回路技術は急
速にサブミクロンオーダーのサイズに近づいている。−
射的に、当業者ならば電界効果トランジスタのソース、
ゲートおよびドレインのサイズの縮小を最初に考えるが
、寸法を縮小しなければならないデバイス素子には例え
ば、ゲート誘電体に通常使用される誘電体膜なども含ま
れる。現在、これらの大部分のデバイス素子の17さと
してはFi十nm未満が望ましいとされている。
しかし、ゲート透電体が薄くなるにつれて、低欠陥密度
(Dθ)および誘電体加工性を含む誘電体の品質の重要
性がデバイス性能に対、して高まる。
8うまでもなく、低欠陥密度および誘電体加工性は他の
集積回路においても重堡である。
実際、VLSI回路では誘電体層の品質がデバイス性能
を決定する主要なファクターになりつつある。ゲート電
極に印加される電圧によりソースとドレイン領域との間
のチャネル中の電流量をコントロールする電界効果トラ
ンジスタ(FET)の場合、電極はコンデンサを含み、
また、代表的な誘電体はシリコン酸化物である。ソース
およびドレイン領域がn形導電層を何する場合、正のゲ
ート電圧(Vg )はチャネル中に陰電荷を含む。
ゲート電圧が閾値電圧を超えると、チャネル中に電流が
流れる。
理想的なFETでは、誘電体中を電流が流れず、かつ、
誘電体中に電荷を蓄えられない状態であれば、ゲート電
圧を恣意的に大きくすることができる。しかし、実際に
は、誘電体中にトラップされた電荷を除去すると、閾値
電圧における電荷誘起シフトにより動作が不安定となる
ので、電荷を除去することはできない。このシフトは次
の説明により一層明確に理解することができる。トラッ
プされた電荷による電圧シフトはQt /Cに比例する
。Qtはトラップされた電荷であり、Cは容量である。
酸化物膜の厚さが薄くなるにつれて電圧シフトも減少す
るが、トラップされた電荷の補償をスケーリングで一層
小さな寸法にまで低下させる必要がない。実際、電荷の
トラップおよび欠陥により誘起された絶縁破壊により薄
い酸化物のスケーリングには限界が定められる。従って
、最高のデバイス性能を得るには誘電体中の欠陥の数を
最小にする必要がある。しかし、1983年に米国アリ
シナ州のフェニックスで開催された第21回信頼性物理
学シンポジウドの講演集の184〜190頁にヤマベら
は、シリコン酸化物膜の厚さが20 n m未満になる
と、シリコン酸化物の膜厚が薄くなるにつれて欠陥密度
(特に、ピンホール)が増大すると報告している。
少なくともSi集積回路については、シリコン酸化物、
SiO2,が最も一般的に使用されている誘電体である
。シリコン酸化物膜は熱成長または蒸着により形成する
ことができる。シリコンの熱酸化は、酸化種の内方移動
により駆動される酸化物/シリコン界面の反応を含む。
従って、シリコン表面は引き続いて更新され、そして、
バルクSiO2は、バルクと表面欠陥の大部分を除去す
るのに十分な酸素を有した状態で維持される。表面の不
働態化は、安定な5i02膜が形成されるのでハンドリ
ング結合の数の減少によりバンドギャプ中の状態の数を
減少させる。
蒸着フィルムは熱酸化物よりも−・層急速に成長させる
ことができるが、−射的に、蒸着フィルムの誘電体特性
は熱成長酸化物膜の誘電体特性よりも劣る。例えば、蒸
着酸化物は5cm−2超の高欠陥密度Do+約3MV/
cmの低ブレークダウン領域Fdb及び10’2cm″
′″2ev−1超の高界面状態密度を有するので、誘電
体として使用されることはなかった。しかし、低温プラ
ズマ化学的気相成長法によりおおむね高品質の5i02
膜が形成されることが報告された(1986年11月1
日発行の応用物理学会誌、60,3136〜3145頁
参照)。界面トラップ密度は迅速な蒸着アニールにより
減少された。その他の蒸着方法も一般的に、酸化物の密
度を高めるため、および、電気的集積度を向上させるた
めにアニーリング工程を有する。しかし、その酸化物が
ゲート誘電体として使用される場合、期待したほどの良
好な結果は得られない。
S i3N<11/S i02により形成されるような
二重誘電体を形成することにより、蒸着酸化物中の高欠
陥密度から生じる問題を多少なりとも解決しようとする
試みがなされた。例えば、ワタナベらはIEEE国際信
頼性物理学シンポジウム講演集(1985年)18〜2
3頁に、酸化物の膜厚が10nm〜20nmの範囲内の
5i02/Si3N4/5i02構造体を製作したこと
、この構造体のDOが0.5cm−2で、Fdbが9M
V/cm超であることを報告している。下部の酸化物層
は熱成長により形成し、次いで、5i3Nq層を蒸着し
、そして部分的に酸化させた。二重誘電体構造の場合、
漏れ電流が低く、かつ、ブレークダウン電圧か高いが、
5i3Nq/5i02界面はトラップとして作用する状
態の密度が高い。窒化物は酸化種に対して不透過性なの
で、アニーリングによってこれらの状態を除去すること
はできない。更に、界面状態は電極バイアスを変化させ
ることにより増やしたり、あるいは減らしたりすること
ができる。従って、これらは閾値電圧中の電荷誘起シフ
トおよびチャネル電導度の低下のためにデバイス動作を
不安定にする。その結果、この二重誘電体はゲート誘電
体としてばかりでなくその他の用途で使用する場合にも
最適であるとはいえない。
従って、本発明の目的はゲート誘電体としてばかりでな
くその他の用途にも最適な多層構造誘電体を提供するこ
とである。
[課届を解決するための手段] 前記目的は、第1の組成および第2の組成を有する第1
の層および第2の層を基板上に形成し、この第1の層と
第2の層は界面と第1および第2の欠陥構造を有し、第
1の層および第2の層中の欠陥は互いに不整列であり、
そして、前記第1の層および第2の層を通して、前記基
板と反応する種を該基板に拡散させることにより第1の
層の下部に第3の層を成長させる工程からなる基板上に
多層構造体を形成する方法、により達成される。
[作用コ 第1の層と第2の層との間の界面はシンクとして機能し
、そして、欠陥をトラップする。その結果、第3の層の
成長中に第1および第2の層中の欠陥密度は減少される
。一つの実施例では、第1の層と第2の層との間の応力
吸収界面の存在下で第3の層の成長中に第2の層は緻密
になる。
第3の層は基板と多層誘電体構造物との間に界面を形成
する。第3の層の成長はほぼ平衡な条件中で生起する。
そして、この層は優れた構造特性を有するので、応力の
ない、望ましい界面特性と電気絶縁性を有する平面的な
基板/誘電体界面を形成する。好ましい実施例では、第
1および第2の組成は酸化物であり、基板はシリコンで
ある。
種は酸素であり、この酸素は基板と反応して二酸化シリ
コンを生成する。
特に好ましい実施例では、熱酸化物を成長させ、化学的
気相成長(cVD)法により酸化物層を蒸着し、そして
、酸化性雰囲気中でアニーリングして蒸着層を緻密にし
、かつ、追加の酸化物を成長させることにより薄い酸化
物が得られる。基板はシリコンである。熱成長酸化物お
よび蒸着酸化物はそれぞれ第1の層と第2の層を形成す
る。追加の酸化物は第3の層であり、これは酸素が第1
の層と第2の層を通過して基板に移動し、そこで基板と
反応して酸化物を生成することにより形成される。この
酸化物は典型的には0.5cm−”未満の低欠陥密度と
、10MVcm−’超の高ブレークダウン電圧を何する
。実際、0.1cm−2未満の欠陥密度が得られた。
第1の工程は常用の乾燥酸化法を用いて850℃〜11
00℃の範囲内の温度でSi基板上に5i02層を成長
させる。減圧またはプラズマによるCVD法は蒸着酸化
物と熱成長酸化物との間に界面を有する多孔質な酸化物
層を堆積する。界面は応力吸収および応力緩和の双方に
重要である。
アニーリング工程中に新たに成長する5i02は、蒸着
層および熱成長層の多孔質構造体を通してSi/5i0
2界面に種(酸素)が拡散輸送されることにより形成さ
れる。しかし、蒸着層は欠陥のサイズが小さいので、ア
ルカリ金属イオン輸送に対しては障壁となる。しかし、
酸化物は酸素透過性なので、緻密化および酸化アニール
中の電荷トラップはアニール化される。更に、第3の5
i02層は応力吸収構造によりもたらされたほぼ平衡な
条件ドで成長し、最小の粗さと応力勾配を仔するSi/
5i02界而が形成される。
[実施例] 代表的な実施例は主に第1図を参照することにより説明
する。詳細な実施例はこれに付随して例示し、説明する
。この説明の後に、変更例およびその他の実施例を説明
する。これら以外の実施例は当業者に自明なので説明は
省略する。
第1図を参照する。シリコン基板1を使用する。
厚さが約5nmの薄膜、すなわち熱酸化物の第1の層3
を常法により成長させる。厚さが約5nmの酸化物層(
すなわち、第2の層5)はテトラニドキシンラン(TE
OS)を減圧CVD法により分解蒸着させることにより
形成される。二つの酸化物層間の界面は水平な破線によ
り示されている。
第2の層5の蒸M温度は約625℃〜約750℃の範囲
内である。蒸着圧力は150〜400mTorrの範囲
内である。実施例で用いた温度は約635℃であり、ま
た、実施例で用いた圧力は260 mTorrであった
図示されているように、各層は多数の欠陥、すなわち、
第1の欠陥構造と第2の欠陥構造を有する。これらはお
おむね垂直な波線により模式的に示されている。欠陥は
互いに不整列な位置に存在する。すなわち、各層中の欠
陥は層3と月5の界面が末端となり、互いに連通ずるこ
とはない。欠陥は結晶転位、細孔などのような結晶完成
からのいかなるタイプの偏りであってもかまわない。欠
陥は約10nmの平均内部欠陥間隔を有し、直径は約1
0nm未満である。代表的な直径は約10mである。
次いで、既に存在する酸化物および新たに成長する酸化
物の双方にとって有益なアニーリング工程が実施される
。このアニーリング処理は約750℃の温度で開始され
、そして、温度は5℃/分の速度で約900℃にまで上
昇される。900 ’Cの温度を約9分間維持し、続い
て、約3.3℃/分の速度で降下させる。雰囲気は酸素
と窒素の混合物であり、酸素含有率は最高温度のときに
最も高くなる。新たな酸化物層9は厚さδを有し、アニ
ール中の5i02/Si界而の移動距離である。
層3と層9との間の界面は水平線で示されている。
a3および層5は酸化種(すなわち、酸素)の拡散輸送
を可能にするものでなければならない。
図示された構造は、低欠陥密度の他、低酸化物電荷(Q
f )および界面トラップ密度(Q It)を汀する。
閾値電圧の不安定性および表面生成や再結合速度の増加
などに代表されるデバイス性能の劣化はDIおよびトラ
ップ電荷(QfおよびQ it)の直接関連するものと
思われる。
Doについて得られた低い値は次の説明により一層明確
に理解される。薄い酸化物ゲート誘電体の場合、Doの
主たる要因は成長により誘起された欠陥密度と酸化物層
中の固有応力である。欠陥は、偏在不純物、イオン損傷
部位および緩慢な酸化によるシリコン核形成表面上の欠
点などのようなエネルギー的に有利な部位に発生する。
欠陥の周囲のシリコンが酸化により消耗されるにつれて
、欠陥は外方へ成長し、そして最後には網状の欠陥が存
在する。欠陥は拡散マス輸送用のパイプおよびデバイス
性能と信頼性にかなりの影響力を有する潜在的な電流通
路として見ることもできる。
低DDを得るためには、欠陥密度を低下させなければな
らないばかりか、誘電体膜中に応力吸収界面を形成させ
ることにより局部的な応力勾配を低下させなければなら
ない。
5i02膜中に応力が取込まれるのは、900℃未満の
酸化温度における粘弾性圧縮応力の不完全な緩和および
5i02とSiとの間の熱膨張の差によるものである。
更に、複雑なデバイス配置と加工によりしばしば欠陥の
形成と成長を誘起する局部的に高い応力レベルが発生さ
れ、その結果、欠陥のサイズと密度が増大される。界面
は二種類のタイプの酸化物(例えば、第1図で説明した
ような熱酸化物と蒸着酸化物)のような二つの異なる誘
電体間に存在する。界面は欠陥構造中に不連続性を形成
することにより欠陥密度を効果的に減少させる。二つの
誘電体中の欠陥が一列に並んで互いに連通している場合
、すなわち、欠陥が不整列でなく、かつ、不連続性でな
い場合、界面は有効欠陥密度を減少させる効果を有しな
い。
好ましい実施例では、界面は熱成長5i02と蒸着5i
02との領域間に形成される。界面は応力を吸収すると
共に、緩和もする。また、二つの酸化物層内の欠陥シン
クとしても機能する。
あらゆるに■合わせの誘電体が本発明で有用なわけでは
ない。例えば、5i02/5i3Nq構造体は低欠陥密
度を仔するが、アニーリングでは低下させることのでき
ないトランプ密度が高い。従って、この構造体は、窒化
物層が完全に消耗されてシリコンオキシ窒化物を生成し
なければ、本発明では用をなさない。これに対し、熱成
長/蒸着酸化物構造体は低欠陥密度を何するばかりか、
アニーリングで除去することのできる界面トラップ密度
も低い。これら二種類の二重誘電体間の挙動の相違は下
記の説明により一層明確になる。
アニーリング中に、存在する酸化物を通して酸化種が拡
散し、次いで、Si/5i02界面でシリコンと反応す
るにつれて、酸化物の成長が起こる。この酸化反応はシ
リコン基板中への界面移動を生じる。透過型電子顕微鏡
の格子結像技術により、この移動が界面粗さと凹凸の数
を減少させることが実験的に確認された。
酸化物中に欠陥が存在すると拡散による酸化剤の輸送が
高められる。すなわち、欠陥は酸化剤の通路を提供する
。新たに成長した5i02は、その成長が応力クツショ
ンとして機能する界面によりもたらされた応力吸収条件
下で起こるので、熱成長および蒸着酸化物よりも構造的
に優れている。
界面はまた、欠陥シンクとして、および、大気雰囲気か
らSi/5i02界而へのアルカリ金属イオンの拡散輸
送の障壁としても機能する。緻密化アニール中の酸化反
応は界面トラ、プ数の減少と共に、同時に、界面応力勾
配、粗さおよび凹凸数も減少させる。本実施例では、こ
の緻密化により全体の厚さが約15nmの酸化物が形成
された。
酸化剤ガス相中の酸素分圧を低下させることにより一層
薄い膜を得ることもできる。
これに対して、5i3N4I/5i02構造体は酸化剤
の拡散に対して不伝導性である。酸化アニール中、5i
3Nttの表面は酸化され、界面に酸化剤を全く輸送す
ることなくシリコンオキシ窒化物を生成する。従って、
酸化アニール後も界面状態の密度はこの二重誘電体膜中
に変化しないまま残る。更に、5i3Nq層は酸化種の
拡散輸送に対して比較的に不透過性なので、緻密化アニ
ール中に界面酸化が全く起こらず、界面粗さや凹凸数は
殆ど減少されない。
若干の変更を試みた。表面の酸化物層は別の方法により
形成することもできる。例えば、ポリシリコン層を蒸着
し、そして酸化させるか、または、薄い窒化物層を完全
に酸化させる。その他の変更は当業者が容易に想到する
ことができる。
次に、本発明の具体例について説明する。
第1図に示された構造体を多数のSi基板上に形成させ
、その特性を様々な方法により試験した。
基板はp/I)”<100>配向の直径125nm1厚
さ625μmで固有抵抗がo、ooe〜o、。
10Ω−cmのものを使用した。厚さ16.5μmのp
形エピタキシャル届のボロン濃度は2〜5x 10” 
14cm−3(15〜20Ω−cm)の範囲内であった
FdbとDIについてゲート酸化物特性を評価するのに
使用した試験構造体はエル・シー・パリ口らがIEDM
テクニカルダイジェスト(1980年)の752〜75
5頁に記載したツイン−タブCMOSテクノロジーと同
様なものであった。薄いゲート酸化物領域を厚さ700
nmの電界酸化物(FOX)層と、950℃で加熱する
ことにより成長させた厚さ1100nの犠牲ゲート酸化
物層により画成した。犠牲ゲート酸化物層は後記のゲー
ト酸化前に化学的に即座に211ffiLな。ゲート酸
化の後、厚さ420nmのLPGVDポリシリコン層を
蒸着し、続いて、PBraによりポリシリコン層の95
0℃気相ドーピングを行い、代表的な20Ω/cm2の
ソート抵抗を得た。ゲート薄膜酸化物領域を覆い、かつ
、FOX、hに数ミクロンまで重複する網線を使用し、
ドープトポリンリコン層をパターン付けした。
C−■測定には非パターン化基板を使用し、そして、標
準的な予備酸化クリーニングの後、全基板上に酸化物の
薄膜を成長させた。酸化後、厚さ420nmのLPCV
Dのポリシリコン層を蒸着し、そして、リンでドープし
た。直径が1〜2μInのシャドウマスクアルミニウム
ドツトを前側にM ?t シ、375℃で30分間焼結
させた。次いで、エツチングマスクにアルミニウムを用
いてポリシリコン層を選択的にエツチングした。裏側の
ドープトガラスを剥離した後、厚さloonmのアルミ
ニウム層を該裏側に蒸着し、基板接点を作製した。
Si/5i02界面品質および薄い酸化膜の構造特性を
X線ミクロ回折(XRMD)による5i(400)ピー
ク分布と、透過型電子顕微鏡(TEM)による界面の5
i(111)格子結像により確認した。また、sxo振
動モードのフーリエ変換赤外分光光度計(FT I R
)依存性波長もXRMDテクノロジーにより併せて測定
した。
厚さが10,15および25nmの堆積ゲート酸化膜を
、通常の熱酸化により成長された同じ膜厚のゲート酸化
膜と、構造特性および電気特性について比較した。
これら酸化物のデバイス加工可能性はメガピッ)DRA
M(1,25μm技術)および84KSRAM(0,9
μm技術)回路の製造の成功により実証された。
常用の酸化方法は、(a)5 : IH2So<+/H
2O2(90℃);(b)NH/110H/H202(
85℃);および(c) 15 : I HF/H20
(25℃)の溶液中で連続的に洗ゆ、すすぎおよび乾操
することにより有機およびjjj(機不鈍物を除去する
標準的な予備酸化洗浄を含む。この予備酸化洗浄方法は
当業者に周知である。酸化は石英またはSiCチューブ
と水含有石英ボートを保持するパドルを使用する三面抵
抗加熱炉中で行われる。
温度制御のために炉ライナーの外側に3個の熱電対を使
用した。第2の熱電対群(ライナーと炉チューブとの間
)は温度分布と水温較正のために使用した。マイクロプ
ロセッサによる温度コントロールは自動的に行った。ま
た、マイクロプロセッサは特定の温度で所定の時間間隔
について順序とガス流量を自動的にコントロールした。
フルランプスパン中75cm以上は平坦ゾーンの温度を
±1℃の範囲内に維持した。
第1の5i02成長用の一般化された熱スケジュールと
ガス流れ順序を第2図を参照しながら説明する。横軸に
時間をプロットし、縦軸に温度をプ0.7トした。縦軸
および横軸とも任意単位である。酸化サイクルは時間t
7で開始され、不活性(100%Ar)または僅かに酸
化性(大部分はArであるが若干量の02 :HCJ/
が混合されている)の雰囲気下で温度T+  (750
℃)でボートを時間t2まで10分間挿入し、続いて5
℃/分の速度で温度を徐々に、時間t3で950℃の平
坦ゾーン温度TFまで上昇させた。この時点で、酸化剤
(02:HCl)の含汀率をキャリアーガスのArに対
して増大させ、1.0〜1.5nmZ分の平均成長速度
を得た。
成長温度TF  (950℃)における恒温保持時1i
fl t J〜tqを変化させ、厚さが3.5,5.0
゜10.0,15.0および25.0nmの熱成長5i
02膜を得た。時間t4で5i02成長が完rした後、
時間t4−t5まで45分間にわたって100%Ar中
で酸化物を後酸化アニール処理した。続いて、3.5℃
/分の速度で時間t6で750℃の温度まで徐々に降下
させた。不活性雰囲気下で冷却される場合、この温度で
、ボートを恒温条件F′?:′t7まで移動させた。次
いで、第2の5i02膜を蒸着するためにLPGVDに
移送した。
前記の後酸化アニール処理は、ブレークダウン電界分布
を改とし、かつ、酸化物中の固定電荷(Q【)をコント
ロールするためにN S io 2ゲート酸化膜に七っ
て必要である。これらの詳細な説明は例えば、エム・ア
リエンゾらがアップライド フィジックス レター、1
1,1040〜1042頁(1986年10月20日発
行)に掲載した論文に開示されている。
成長5i02層上へのLPCVDS i 02の蒸着は
、635℃におけるTE01の熱分解により0、26T
orrの圧力で行った。蒸着装置はニー・シー・アダム
スとシー・デイー拳キャピオがジャーナル オブ エレ
クトロケミカル ソサエティー、 −L2J、1042
〜104θ頁<1979年6月発行)に詳細に開示した
LPGVD装置と同様な装置であった。代表的な蒸着順
序により、熱成長5i02を有するウェハをローディン
グし、そして、反応チューブを0.02Torrまで排
気した。ローディング後、直ちに70℃の温度降下が一
般的に認められ、そして、基板が熱平衡に達するまでに
は一般的に40〜50分間のソーキング時間が必要であ
った。0.02Torrにおけるソーキングの最初の1
0分間が経過した後、温度を安定化させながら32分間
にわたって小さな流量(0,5ヌ/分)の02で装置を
パージした。次いで、この装置を0.02Torrで更
に4分間ソーキングした。ソーキング後、直ちにTEO
8蒸気を導入した。液状TE01源の温度(−射的には
35℃)により流量をコントロールした。温度コントロ
ーラにより最適な条件と1.4nm/分の蒸着速度を維
持した。キャパシタンス圧力計のバタフライ弁を使用し
た圧力コントローラ装置により5i02蒸着中のLPG
VD圧力を0.280T。
rrに維持した。熱分解温度635℃は炉温度コントロ
ーラにより維持した。膜均一性およびSiO2蒸着速度
に影響を及ぼすことのできる別の変数である内部ウェハ
間隔は0.95cmであった。
5nmの厚さの蒸着酸化物を得るには、366分間の蒸
着時間が必要であった。均一性を犠牲にすることな(蒸
着速度を更に低下させることは、蒸着温度および/また
は液状TE01源を証度を低下させることにより容易に
達成することができる。
蒸着の終了時点で、バタフライ弁を閉じ、そして、この
反応炉を0.02Torrまで3分間排気した。
ついで、この装置を0.51/分で8分間02でパージ
し、未分解TEO3をチューブから除去した。次いで、
このチューブをN2で再び満たし、そして、ウェハを取
り出した。
最終工程は緩和な酸化性雰囲気下における緻密化アニー
ルである。この処理工程中、はぼ平衡な条件中で新たな
5f02層が成長し、Si/SiO2界面中のトラップ
、応力勾配および凹凸を減少させる。緻密化アニールは
前記と同じ三面抵抗加熱酸化炉中で実施される。
マイクロプロセッサでコントロールされた熱予定プログ
ラムは第2図に示されている。緻密化サイクルはTI 
 (750℃)で開始され、時間t/〜t2の10分間
の間にボートは移動され、続いて、5℃/分の速度で平
坦ゾーン酸化温度Tf  (900″C)まで時間t2
〜t3の3分間かけて温度を−L杯させた。この期間中
のガス流れ条件はN2と02の流量をそれぞれ18ヌ/
分と2ヌ/分の一定にすることにより維持した。Si基
板に被覆された厚さ10nmの堆積酸化物を緻密化して
いる間に厚さ5nmのSiO2層が成長する場合、酸化
剤(02:N2)気相中の02含打率が54%で9分間
のアニール時間を使用した。−層薄い(すなわち、io
’nm未満)酸化物の場合、全体の厚さを制限するため
に、シリコン基板に被覆された厚さ7.5nmの堆積酸
化物上に厚さが2〜3nmのSiO2層を成長させた。
この成長は、酸化剤中の02の容量含有率を10%にま
で低下させ、および/または、酸化時間t3 t4を短
縮することにより行われた。最後の酸化物がSi/5i
02界而の一体的部分を構成するので、緻密化アニール
中少な(とも2.5nmの5i02を成長させることが
望ましい。更に、界面粗さおよび応力勾配の最適な減少
はδ<2.5nmについては不可能である。緻密化の後
、直ちに基板温度を3.3℃/分の速度で時間t6にお
いて750℃にまで降下させ、そして、ボートを時間t
6〜t7の間に所定の速度で炉から取り出し、続いてN
2でパージしながら炉を冷却した。
琲およ t′枦−′ (i)酸化物膜厚 酸化物の膜厚の測定は548.1nmの波長で偏光解析
法により行った。1100cm−’バンドの5i−0ス
ペクトルのフーリエ変換赤外分光光度計(FT I R
)を用いてボア密度および5i−0バンド歪により酸化
物の品質を確認した。第3図は緻密化の11?1と後の
多層堆積酸化物の代表的なFTIR吸収(Si−0)ス
ペクトルを示す。
横軸に波数をプロットし、縦軸には吸光度をプロットし
た。曲線31は緻密化前のものであり、曲線32は緻密
化後のものである。スペクトルの相違は緻密化中の5i
02成長の直接的な目安である。全ピーク幅は、同様な
膜厚の層と比較した場合、Dθが最良な熱酸化物のピー
ク幅よりも小さいかまたは同等である。
(II)応力測定 酸化膜中の応力を反映する、Si/Sio2界而に近い
シリコン層中の応力は、1986年12月30口にピー
・ケー・ロイに付与された米国特許第4631804号
明細書に開示されたX線ミクロ回折法(XRMD)を用
いるS i (400)。
2θブラツグピ一ク分布により測定した。SiO2/ 
S i中の任意の局限位置の回折信号は透過深度8μm
以内の直径30μmの平行CuKaX線から発生された
照射容量の平均容量値である。信号捕集を高めるために
、ミクロ回折計はスリットと、従来のXRDにおけるよ
うな回折デバイ環の一部分でなく、その全てを集める検
出装置を使用している。この技術はピーク分布における
微小で、とらえにくい変化を検出するのに極めて有用で
ある。Si  (400)、2θピ一ク位置は(400
)甲面の面間隔(d)の直接的な目安である。2θ0の
非応力値からの逸脱は、シリコンの弾性剛性値を用いて
SiO2/Siの回折値からシリコン(σsi)中の応
力に関係づけられた格子膨張Δd(ddo)の目安であ
る。エッチ曇イエチおよびニス・スト−は1984年9
月に発行された応用物理学会誌、u  L743〜L7
45頁に、格子間隔中に認められた変化からσs1への
前記変換を開示した。更に、ピーク幅は結晶サイズおよ
び欠陥状態に関するシリコン構造体の知見を与える。
第4図は様々な合成段階における多層堆積5i02膜の
Si (400)、2θピ一ク位置を示す。
ピーク位置を横軸にプロットし、縦軸に強度を任意単位
でプロットした。曲線41,42.43および44は、
熱5i02膜、熱/蒸着膜、アニール後の構造体および
単結晶シリコンをそれぞれ示す。分布図(1)(100
人熱SiO2/Si)は6θ、1000°のピーク位置
を示す。この値は下記の式による2、71xlO7db
−2の引張応力に対応する。
6xlO” dyn@cm−2であり、2θ0=89.
1970°である。
同様に、LOnm成長/ 10 nmTEO8S iO
2蒸着堆積5i02構造体の緻密化前のピーク位置は6
9.3200°であり、この値は3.6xlO?dyn
*cm−2の圧縮応力に対応する。
緻密化後、この構造体は10nm成長/lonm蒸着/
 5 n m成長の構成となり、曲線43で示されるよ
うに、応力(2θ=69.2000’ )が・ip実上
ゼロとなる。比較用の25nmpJASi02膜を有す
る同等な対照構造体は界面近(のシリコン中に約0.9
x!O?dYnecm−2の引張応力値を示した。Si
/5i02界面付近のσslがほぼゼロの値になるまで
低下する同様な傾向はL5nmおよびlonm堆積5i
02膜について認められた。これらの測定結果を下記の
表1に要約して示す。
表1に示された結果から明らかなように、σsiは著し
く減少されている。従って、SiO2膜の連続的な成長
争蒸着・成長による界面応力も著しく減少される。Si
/5i02界面を構成する、緻密化中に成長する5i0
2膜の最終形成工程は成長および蒸着5i02膜間の仮
の界面によりもたらされる最良な起こりうる応力吸収環
境下でほぼ平衡な状態を形成する。
(Iff) S i/S i O,>界面の格子結像透
過型電子顕微鏡を用いて界面粗さと凹凸を観察した。a
llllll体用検体積酸化物および同等な厚さの熱酸
化物類似品について、厚さ150〜250nmまで、S
i/5i02断面をアルゴンイオンフライス削りにより
110面方向と平行に襞間することにより作製した。S
i/堆積5i02膜の界面粗さはlnm未満であり、比
較例のSi/熱5i02界面の粗さは約3nmであった
。熱5i02の界面付近に認められるシリコン膜の比較
的大きなコントラスト変調は応力勾配および局在的な歪
場によるものである。緻密化前に、蒸着および成長5i
02膜間に存在する界面ははっきりと視認することがで
き、また、これは明るい電界結像条件下における堆積5
i02誘電体膜の特徴である。
(iv)絶縁破壊および欠陥密度 絶縁破壊(bd)試験は第1図に示されるような構成を
有する構造体について実施した。試験方法としては、試
験MOSコンデンサー(820mm2の両端に負のラン
プ速度または同等な階段電圧を印加することからなる。
p°基板に関する負極性はコンデンサを蓄積させ、その
結果、表面の凹凸およびシリコン領域中の電圧損失の両
方を最小化する。この方法は1986年に発行されたM
RSシンポジウム議事録の505〜512頁に掲載され
た、ティーΦエヌ・ヌグエンおよびデイ−・エル・フィ
ンランによる「シリコンIC加工における材料特集」と
いうタイトルの論文中に開示されている。コンデンサの
両端の漏れ電流は帰れ電流が1μAに達するまでに印加
された電圧の関数として測定した。現在の技術により、
自己回復作用と、破壊bd(ファウラー・ノルドハイム
の酸化物へのトンネル)発生の両方を記録した。高いレ
ベルの信頼性を得るために、各試験毎に約2000個の
コンデンサを用いてテストを行った。このような広範囲
な測定は欠陥密度Dθの僅かな変化を決定するのに絶対
必要である。この測定により得られたデータを、1μA
漏れ電流および電界>4MVcm−/下における破壊b
d基準の場所合格百分率の形で゛、分布プロットとして
図示した。
これらは第5図の模式的に示されている。曲線(1)お
よび(2)はそれぞれ、1μA漏れ電流と破壊bdを示
す。欠陥密度DIは、次式%式%) (ここで、A=0.062cm2である)を用いて1μ
A漏れ電流基準に関する計算データから得られる。
第6図の(A)、(B)および(c)はそれぞれ、10
.15および25nm堆積および熱Siし、電圧は縦軸
にプロットした。堆積酸化物構造体は実線で示し、熱酸
化物構造体は破線で示した。
膜厚が10および15nmの全ての誘電体膜について合
成堆積5i02膜のDθおよびYの著しい改善が表され
ている。00およびFbdに関するデータを包括的に要
約して下記の表2に示す。
(以下余白) 15年前に報告された結果に基づく様々な薄いゲート誘
電体に関するり、の比較用バレートバー線図は、本発明
の堆積5i02膜の低欠陥密度が二重S iJ Nq/
S i02誘電構造体だけが可能であると以前から思わ
れてきたものと同等か、あるいはこれよりも優れている
ことを示している。
本発明の構造体はS ia N4 /S i 02界面
に伴う、高トラップ密度を有しないという重要な利点が
ある。
(V)キャパシタンス−電圧CC−V)特性高周波C−
■測定値は、−2Vから+10Vまで、その後、−5■
にまで戻すように電圧を掃引することにより得た。ヒス
テリシスは全て表面状態の存在を示す。酸化物のC−■
安定性は2MVcm−’で250℃のIN度で酸化物を
10分間バイアス−温度一応力付加(BTS)を行い、
そして、フラットバンド電圧シフト(ΔVFB)とトラ
ップされた電荷状態(QfとQ It)の変化をモニタ
ーすることにより測定した。−層負な値へのフラットバ
ンドシフトはSi/5i02界面における正電荷の蓄積
を意味する。この蓄積は通常、酸化物中の移動イオンt
チ染および/または基板からの正孔注入によるものであ
る。同様に、−層陽なΔVBFはおそら(基板からの電
子注入によるものであろう。
厚さ100人の堆積および/または熱成長5i02膜と
100人5i02/100人Si、7N4二重誘電体か
ら形成したMOSコンデンサのC−■特性のバイアス−
温度一応力試験により、堆積酸化膜は事実上、不安定性
を全く有しておらず、また、熱5i02対照膜は極僅か
なフラットバンド(fb)電圧シフト(−0,03V)
を有することが示された。比較例では、二重誘電体から
作製されたMOSコンデンサは−0,07Vのかなり大
きなΔVfbを示した。これも、界面における正電荷(
移動イオン)の著しい蓄積により起こったものである。
空乏領域付近のC−7曲線における非対称はおそらく大
きな界面トラップ密度によるものであろう。低周波(準
スタティック)C−7曲線はMOSコンデンサの両端に
一定のランプ電圧(ランプ速度は30〜300mV/s
 e c)を印加し、250 ’Cで電位計により変位
電流を測定することにより得た。変位電流は直接調整さ
れて、キャパシタンスを生成した。様々な厚さの薄い堆
積および熱酸化膜のMOSのc−■測定結果を下記の表
3に盟約して示す。
(以下余白) 250人と150人のゲート酸化物をそれぞれ使用し、
1.25μmと0.9μmテクノロジーによりデバイス
を製造した。堆積ゲート酸化物を用いて製造されたトラ
ンジスタはn−およびp−チャネル増大モードの両方に
おいて正常な出力と増幅特性を示した。1.25μmお
よび0.9μmテクノロジーの両方においてこれらの堆
積ゲート酸化物を使用することにより達成されたデバイ
ス歩留りおよび回路性能は対照の酸化物により達成され
た歩留りや性能よりも優れていた。
[発明の効果コ 以上説明したように、本発明の方法によれば、堆積酸化
膜の形成後にアニール処理をすることにより第3の膜を
生成する。これにより、既に存在する酸化膜が緻密化さ
れて、欠陥密度が低下するばかりか、酸化物電荷および
界面トラップ密度も低下し、優れた性能の集積回路を得
ることができるようになる。
【図面の簡単な説明】
第1図は本発明のよる構造体の模式的断面図である。 第2図は酸化工程の一般的な熱履歴を示す。 第3図はアニール前と後の酸化物の代表的なFTIR吸
収(Si−0)スペクトルを示す。 第4図はX線ミクロ回折により得られた5i(400)
、2θ、ピーク位置をプロットした図である。 ツトした特性図である。 出願人:アメリカン テレフォン アンドテレグラフ 
カムパニー FIG、I FIG、 2 時 (」 FIG、 3 FfG、 4 Si(40’)ビー2位12θ復 FIG、 5 累積aキ

Claims (9)

    【特許請求の範囲】
  1. (1)(a)基板の露出表面部分に第1の酸化物層を成
    長させる工程、前記第1の酸化物層は欠陥構造を含み; (b)前記第1の酸化物層上に誘電体層を形成する工程
    、前記誘電体層は酸化種に対して透過性の組成物からな
    り、前記誘電体層は欠陥構造を含み、前記第1の酸化物
    層と前記誘電体層との二重層構造はこれらの層の間に画
    成される界面を含むことからなる、殆ど応力のない界面
    が層間に形成されている、基板上に成長された薄い平面
    状の酸化物層を有する集積回路の製造方法であって、前
    記誘電体層および第1の酸化物層を通して酸化種を拡散
    させることにより前記第1の酸化物層の下部に第2の酸
    化物層を成長させ、前記第2の酸化物層は比較的に薄い
    層であり、この層は前記基板と殆ど平面状の応力のない
    界面を形成する工程を更に含むことを特徴とする集積回
    路の製造方法。
  2. (2)前記工程(b)の実施において、前記誘電体層の
    欠陥構造が工程(a)で成長された第1の酸化物の欠陥
    構造と不整列になるように誘電体層を形成させることを
    特徴とする請求項1記載の集積回路の製造方法。
  3. (3)工程(b)の誘電体層は蒸着酸化物層からなるこ
    とを特徴とする請求項1または2記載の集積回路の製造
    方法。
  4. (4)工程(b)の実施において、酸化物はテトラエト
    キシシラン(TEOS)を分解する減圧化学的気相成長
    法により生成されることを特徴とする請求項3記載の集
    積回路の製造方法。
  5. (5)工程(b)の蒸着の実施において、蒸着温度は約
    625℃〜750℃の範囲内であり、蒸着圧力は約15
    0〜400mTorrの範囲内であることを特徴とする
    請求項4記載の集積回路の製造方法。
  6. (6)工程(b)の実施において、誘電体層は蒸着シリ
    コン窒化物層からなり、これは完全に酸化されてシリコ
    ンオキシ窒化物を生成することを特徴とする請求項1ま
    たは2記載の集積回路の製造方法。
  7. (7)工程(b)の実施において、誘電体層は蒸着ポリ
    シリコン層からなり、これはその後酸化されることを特
    徴とする請求項1または2記載の集積回路の製造方法。
  8. (8)工程(c)の実施において、 (c_1)基板を約750℃の雰囲気温度に曝露し; (c_2)雰囲気温度を約850〜900℃の範囲内に
    まで上昇させ; (c_3)酸化種を含むガスを基板の周りの雰囲気中に
    導入し; (c_4)第2の酸化物層の所望の厚さについて予め規
    定された時間にわたって基板を酸素雰囲気中に維持し; (c_5)酸素雰囲気を除去し、雰囲気温度を約750
    ℃にまで降下させ;そして、 (c_6)基板を冷却する; ことからなる請求項1記載の集積回路の製造方法。
  9. (9)工程(c_3)の実施において、ガス流は約20
    〜55%の範囲内の酸素含有率を有することを特徴とす
    る請求項8記載の集積回路の製造方法。
JP63320802A 1987-12-28 1988-12-21 集積回路の製造方法 Expired - Lifetime JPH0626211B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/138,633 US4851370A (en) 1987-12-28 1987-12-28 Fabricating a semiconductor device with low defect density oxide
US138633 2008-06-13

Publications (2)

Publication Number Publication Date
JPH01204435A true JPH01204435A (ja) 1989-08-17
JPH0626211B2 JPH0626211B2 (ja) 1994-04-06

Family

ID=22482912

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63320802A Expired - Lifetime JPH0626211B2 (ja) 1987-12-28 1988-12-21 集積回路の製造方法

Country Status (8)

Country Link
US (1) US4851370A (ja)
EP (1) EP0323071B1 (ja)
JP (1) JPH0626211B2 (ja)
KR (1) KR930003271B1 (ja)
CA (1) CA1284236C (ja)
DE (1) DE3853668T2 (ja)
ES (1) ES2071619T3 (ja)
HK (1) HK102896A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492712B1 (en) * 1999-06-24 2002-12-10 Agere Systems Guardian Corp. High quality oxide for use in integrated circuits
US6551946B1 (en) 1999-06-24 2003-04-22 Agere Systems Inc. Two-step oxidation process for oxidizing a silicon substrate wherein the first step is carried out at a temperature below the viscoelastic temperature of silicon dioxide and the second step is carried out at a temperature above the viscoelastic temperature
US6670242B1 (en) 1999-06-24 2003-12-30 Agere Systems Inc. Method for making an integrated circuit device including a graded, grown, high quality gate oxide layer and a nitride layer
US7151059B2 (en) 1999-06-24 2006-12-19 Agere Systems Inc. MOS transistor and method of manufacture
US7169714B2 (en) 2000-01-11 2007-01-30 Agere Systems, Inc. Method and structure for graded gate oxides on vertical and non-planar surfaces
JP2010118673A (ja) * 1996-12-23 2010-05-27 Alcatel-Lucent Usa Inc 集積回路
JP2017055004A (ja) * 2015-09-11 2017-03-16 株式会社東芝 半導体装置

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5219774A (en) * 1988-05-17 1993-06-15 Xicor, Inc. Deposited tunneling oxide
US5139869A (en) * 1988-09-01 1992-08-18 Wolfgang Euen Thin dielectric layer on a substrate
JPH0828427B2 (ja) * 1988-09-14 1996-03-21 三菱電機株式会社 半導体装置およびその製造方法
US5874766A (en) * 1988-12-20 1999-02-23 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an oxynitride film
DE68925879T2 (de) * 1988-12-21 1996-10-02 At & T Corp Thermisches Oxydierungsverfahren mit verändertem Wachstum für dünne Oxide
SG108807A1 (en) * 1989-02-14 2005-02-28 Seiko Epson Corp A semiconductor device and its manufacturing method
EP0482829A1 (en) * 1990-10-26 1992-04-29 AT&T Corp. Method for forming a composite oxide over a heavily doped region
US5242831A (en) * 1991-07-10 1993-09-07 Sharp Kabushiki Kaisha Method for evaluating roughness on silicon substrate surface
KR940009597B1 (ko) * 1991-08-22 1994-10-15 삼성전자 주식회사 반도체장치의 게이트산화막 형성법
US5376590A (en) * 1992-01-20 1994-12-27 Nippon Telegraph And Telephone Corporation Semiconductor device and method of fabricating the same
US5726087A (en) * 1992-04-30 1998-03-10 Motorola, Inc. Method of formation of semiconductor gate dielectric
US5612260A (en) * 1992-06-05 1997-03-18 Cree Research, Inc. Method of obtaining high quality silicon dioxide passivation on silicon carbide and resulting passivated structures
CN100465742C (zh) 1992-08-27 2009-03-04 株式会社半导体能源研究所 有源矩阵显示器
JPH06216120A (ja) * 1992-12-03 1994-08-05 Motorola Inc 集積回路の電気的分離構造の形成方法
US5360769A (en) * 1992-12-17 1994-11-01 Micron Semiconductor, Inc. Method for fabricating hybrid oxides for thinner gate devices
DE69405438T2 (de) * 1993-03-24 1998-04-02 At & T Corp Verfahren zur Bildung dielektrischer Oxynitridschichten bei der Herstellung integrierter Schaltungen
US5387540A (en) * 1993-09-30 1995-02-07 Motorola Inc. Method of forming trench isolation structure in an integrated circuit
US5719065A (en) * 1993-10-01 1998-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with removable spacers
JPH07130974A (ja) * 1993-11-02 1995-05-19 Semiconductor Energy Lab Co Ltd 半導体装置およびその動作方法
CA2131668C (en) * 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5362659A (en) * 1994-04-25 1994-11-08 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating vertical bipolar junction transistors in silicon bonded to an insulator
JP3336747B2 (ja) * 1994-06-09 2002-10-21 ソニー株式会社 絶縁膜の形成方法、並びに半導体装置の作製方法及び半導体装置
US5712208A (en) * 1994-06-09 1998-01-27 Motorola, Inc. Methods of formation of semiconductor composite gate dielectric having multiple incorporated atomic dopants
US5712177A (en) * 1994-08-01 1998-01-27 Motorola, Inc. Method for forming a reverse dielectric stack
JPH0878693A (ja) * 1994-08-31 1996-03-22 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US6706572B1 (en) 1994-08-31 2004-03-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor using a high pressure oxidation step
US5814529A (en) 1995-01-17 1998-09-29 Semiconductor Energy Laboratory Co., Ltd. Method for producing a semiconductor integrated circuit including a thin film transistor and a capacitor
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
TW334581B (en) * 1996-06-04 1998-06-21 Handotai Energy Kenkyusho Kk Semiconductor integrated circuit and fabrication method thereof
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US6548854B1 (en) 1997-12-22 2003-04-15 Agere Systems Inc. Compound, high-K, gate and capacitor insulator layer
US6320238B1 (en) 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6252270B1 (en) 1997-04-28 2001-06-26 Agere Systems Guardian Corp. Increased cycle specification for floating-gate and method of manufacture thereof
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5982020A (en) 1997-04-28 1999-11-09 Lucent Technologies Inc. Deuterated bipolar transistor and method of manufacture thereof
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US7030038B1 (en) * 1997-07-31 2006-04-18 Texas Instruments Incorporated Low temperature method for forming a thin, uniform oxide
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
DE69832019T2 (de) * 1997-09-09 2006-07-20 Interuniversitair Micro-Electronica Centrum Vzw Verfahren zur Löschung und Programmierung eines Speichers in Kleinspannungs-Anwendungen und Anwendungen mit geringer Leistung
AU750612B2 (en) * 1997-10-22 2002-07-25 Texas Instruments Incorporated Integrated circuit having both low voltage and high voltage mos transistors and method of making
US5869370A (en) * 1997-12-29 1999-02-09 Taiwan Semiconductor Manufacturing Company Ltd. Ultra thin tunneling oxide using buffer CVD to improve edge thinning
KR100273281B1 (ko) * 1998-02-27 2000-12-15 김영환 반도체 소자의 절연막 형성 방법
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US6177363B1 (en) * 1998-09-29 2001-01-23 Lucent Technologies Inc. Method for forming a nitride layer suitable for use in advanced gate dielectric materials
US6239002B1 (en) * 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US6667232B2 (en) * 1998-12-08 2003-12-23 Intel Corporation Thin dielectric layers and non-thermal formation thereof
US6911707B2 (en) 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
EP1020920B1 (en) 1999-01-11 2010-06-02 Sel Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a driver TFT and a pixel TFT on a common substrate
US6590229B1 (en) * 1999-01-21 2003-07-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and process for production thereof
US6593592B1 (en) * 1999-01-29 2003-07-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having thin film transistors
US6223165B1 (en) * 1999-03-22 2001-04-24 Keen.Com, Incorporated Method and apparatus to connect consumer to expert
US7122835B1 (en) * 1999-04-07 2006-10-17 Semiconductor Energy Laboratory Co., Ltd. Electrooptical device and a method of manufacturing the same
DE19920333A1 (de) * 1999-05-03 2000-11-16 Siemens Ag Verfahren zur Herstellung einer Halbleitervorrichtung
US6365511B1 (en) 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6395610B1 (en) 1999-06-24 2002-05-28 Lucent Technologies Inc. Method of making bipolar transistor semiconductor device including graded, grown, high quality oxide layer
US6521496B1 (en) 1999-06-24 2003-02-18 Lucent Technologies Inc. Non-volatile memory semiconductor device including a graded, grown, high quality control gate oxide layer and associated methods
US6509230B1 (en) 1999-06-24 2003-01-21 Lucent Technologies Inc. Non-volatile memory semiconductor device including a graded, grown, high quality oxide layer and associated methods
GB2355852B (en) * 1999-06-24 2002-07-10 Lucent Technologies Inc High quality oxide for use in integrated circuits
US6797560B2 (en) * 2000-05-22 2004-09-28 Tokyo Electron Limited Method of manufacturing a capacitor having tantalum oxide film as an insulating film
US6753270B1 (en) 2000-08-04 2004-06-22 Applied Materials Inc. Process for depositing a porous, low dielectric constant silicon oxide film
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6605529B2 (en) * 2001-05-11 2003-08-12 Agere Systems Inc. Method of creating hydrogen isotope reservoirs in a semiconductor device
US6870180B2 (en) * 2001-06-08 2005-03-22 Lucent Technologies Inc. Organic polarizable gate transistor apparatus and method
US6461979B1 (en) 2002-02-13 2002-10-08 Taiwan Semiconductor Manufacturing Company LPCVD furnace uniformity improvement by temperature ramp down deposition system
JP3989761B2 (ja) 2002-04-09 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
US7038239B2 (en) 2002-04-09 2006-05-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
US7411215B2 (en) 2002-04-15 2008-08-12 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating the same
JP3989763B2 (ja) 2002-04-15 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
US7256421B2 (en) 2002-05-17 2007-08-14 Semiconductor Energy Laboratory, Co., Ltd. Display device having a structure for preventing the deterioration of a light emitting device
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
JP3538679B2 (ja) * 2002-06-24 2004-06-14 沖電気工業株式会社 電界効果型トランジスタの製造方法
US6859748B1 (en) * 2002-07-03 2005-02-22 Advanced Micro Devices, Inc. Test structure for measuring effect of trench isolation on oxide in a memory device
JP3578753B2 (ja) * 2002-10-24 2004-10-20 沖電気工業株式会社 シリコン酸化膜の評価方法および半導体装置の製造方法
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices
US7101744B1 (en) 2005-03-01 2006-09-05 International Business Machines Corporation Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
JP4830418B2 (ja) * 2005-09-16 2011-12-07 株式会社デンソー 半導体装置
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP2010508167A (ja) * 2006-10-31 2010-03-18 アイメック マイクロマシンデバイスの製造方法
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7981745B2 (en) * 2007-08-30 2011-07-19 Spansion Llc Sacrificial nitride and gate replacement
US20090309163A1 (en) * 2008-06-11 2009-12-17 International Business Machines Corporation Method and structure for enhancing both nmosfet and pmosfet performance with a stressed film and discontinuity extending to underlying layer
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6641872B2 (ja) * 2015-10-15 2020-02-05 Tdk株式会社 電子デバイスシート
US11508843B1 (en) * 2021-05-27 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having fully oxidized gate oxide layer and method for making the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3617929A (en) * 1968-12-30 1971-11-02 Texas Instruments Inc Junction laser devices having a mode-suppressing region and methods of fabrication
US3764411A (en) * 1970-06-23 1973-10-09 Gen Electric Glass melt through diffusions
US3793090A (en) * 1972-11-21 1974-02-19 Ibm Method for stabilizing fet devices having silicon gates and composite nitride-oxide gate dielectrics
US4140548A (en) * 1978-05-19 1979-02-20 Maruman Integrated Circuits Inc. MOS Semiconductor process utilizing a two-layer oxide forming technique
US4250206A (en) * 1978-12-11 1981-02-10 Texas Instruments Incorporated Method of making non-volatile semiconductor memory elements
US4254161A (en) * 1979-08-16 1981-03-03 International Business Machines Corporation Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking
US4371587A (en) * 1979-12-17 1983-02-01 Hughes Aircraft Company Low temperature process for depositing oxide layers by photochemical vapor deposition
US4307180A (en) * 1980-08-22 1981-12-22 International Business Machines Corp. Process of forming recessed dielectric regions in a monocrystalline silicon substrate
US4631804A (en) * 1984-12-10 1986-12-30 At&T Bell Laboratories Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer
US4661176A (en) * 1985-02-27 1987-04-28 The United States Of America As Represented By The Secretary Of The Air Force Process for improving the quality of epitaxial silicon films grown on insulating substrates utilizing oxygen ion conductor substrates
US4713260A (en) * 1985-08-22 1987-12-15 Harris Corporation Method of ensuring adhesion of chemically vapor deposited oxide to gold integrated circuit interconnect lines
US4675978A (en) * 1985-09-09 1987-06-30 Rca Corporation Method for fabricating a radiation hardened oxide having two portions
DE3689971T2 (de) * 1986-03-05 1994-12-08 Sumitomo Electric Industries Herstellung einer halbleiteranordnung.
US4746630A (en) * 1986-09-17 1988-05-24 Hewlett-Packard Company Method for producing recessed field oxide with improved sidewall characteristics

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010118673A (ja) * 1996-12-23 2010-05-27 Alcatel-Lucent Usa Inc 集積回路
US6492712B1 (en) * 1999-06-24 2002-12-10 Agere Systems Guardian Corp. High quality oxide for use in integrated circuits
US6551946B1 (en) 1999-06-24 2003-04-22 Agere Systems Inc. Two-step oxidation process for oxidizing a silicon substrate wherein the first step is carried out at a temperature below the viscoelastic temperature of silicon dioxide and the second step is carried out at a temperature above the viscoelastic temperature
US6670242B1 (en) 1999-06-24 2003-12-30 Agere Systems Inc. Method for making an integrated circuit device including a graded, grown, high quality gate oxide layer and a nitride layer
US7151059B2 (en) 1999-06-24 2006-12-19 Agere Systems Inc. MOS transistor and method of manufacture
JP2010056558A (ja) * 1999-06-24 2010-03-11 Alcatel-Lucent Usa Inc 半導体デバイス
US7169714B2 (en) 2000-01-11 2007-01-30 Agere Systems, Inc. Method and structure for graded gate oxides on vertical and non-planar surfaces
JP2017055004A (ja) * 2015-09-11 2017-03-16 株式会社東芝 半導体装置
US10319828B2 (en) 2015-09-11 2019-06-11 Kabushiki Kaisha Toshiba Semiconductor device with diffusion prevention layer
US10672882B2 (en) 2015-09-11 2020-06-02 Kabushiki Kaisha Toshiba Semiconductor device

Also Published As

Publication number Publication date
ES2071619T3 (es) 1995-07-01
HK102896A (en) 1996-06-21
DE3853668T2 (de) 1995-12-21
KR890011081A (ko) 1989-08-12
US4851370A (en) 1989-07-25
EP0323071A3 (en) 1990-03-28
EP0323071B1 (en) 1995-04-26
KR930003271B1 (ko) 1993-04-24
JPH0626211B2 (ja) 1994-04-06
DE3853668D1 (de) 1995-06-01
EP0323071A2 (en) 1989-07-05
CA1284236C (en) 1991-05-14

Similar Documents

Publication Publication Date Title
JPH01204435A (ja) 集積回路の製造方法
US5153701A (en) Semiconductor device with low defect density oxide
US5464783A (en) Oxynitride-dioxide composite gate dielectric process for MOS manufacture
US5880040A (en) Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US4784975A (en) Post-oxidation anneal of silicon dioxide
KR100358056B1 (ko) 반도체 소자의 게이트 산화막 형성방법
US6184072B1 (en) Process for forming a high-K gate dielectric
KR100848423B1 (ko) 유전체 코팅 및 유전체 코팅을 형성하는 방법
US5132244A (en) Growth-modified thermal oxidation for thin oxides
CA1297764C (en) Fabrication of devices having thin dielectric layers
JP2004533108A (ja) 高k誘電体膜及びその製造方法
JP4521327B2 (ja) 半導体装置の製造方法
JP2003179049A (ja) 絶縁膜形成方法、半導体装置及びその製造方法
JP4003846B2 (ja) 半導体装置のキャパシタ製造方法
KR100304699B1 (ko) 탄탈륨 산화막을 갖춘 커패시터 제조방법
US5506178A (en) Process for forming gate silicon oxide film for MOS transistors
JPH10229080A (ja) 酸化物の処理方法、アモルファス酸化膜の形成方法およびアモルファス酸化タンタル膜
JP3805825B2 (ja) 絶縁膜の形成方法
US6707086B1 (en) Method for forming crystalline silicon nitride
KR100621542B1 (ko) 미세 전자 소자의 다층 유전체막 및 그 제조 방법
Punchaipetch et al. Low-temperature deposition of hafnium silicate gate dielectrics
Vogel et al. Electrical properties of silicon dioxide films fabricated at 700° C. I: Pyroltsis of tetraethoxysilane
JPH09260372A (ja) 半導体装置の絶縁膜の形成方法
Avigal et al. A new method for chemical vapor deposition of silicon dioxide
Jelenkovic et al. Effect of deposition conditions on stability of sputtered oxide in MOS structures

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080406

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090406

Year of fee payment: 15

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090406

Year of fee payment: 15