JP2003530694A - 銅保護層及び熱伝導体としての窒化アルミニウムの使用 - Google Patents

銅保護層及び熱伝導体としての窒化アルミニウムの使用

Info

Publication number
JP2003530694A
JP2003530694A JP2001574897A JP2001574897A JP2003530694A JP 2003530694 A JP2003530694 A JP 2003530694A JP 2001574897 A JP2001574897 A JP 2001574897A JP 2001574897 A JP2001574897 A JP 2001574897A JP 2003530694 A JP2003530694 A JP 2003530694A
Authority
JP
Japan
Prior art keywords
copper
layer
aluminum nitride
nitride layer
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001574897A
Other languages
English (en)
Inventor
マックティアー,アレン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of JP2003530694A publication Critical patent/JP2003530694A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 銅層と、該銅層上に形成された窒化アルミニウム層とを有する銅内部接続構造が開示される。窒化アルミニウム層は、銅層表面をパッシベートし、半導体基板及び銅層から熱を放射させることによって、該基板の熱伝導性を向上させる。

Description

【発明の詳細な説明】
【0001】 (発明の属する技術分野) 本発明は、集積回路パッケージ用の内部接続構造の分野に係り、特に、2以上
の電子部品を電気的に接続するための銅内部接続構造用の保護層に窒化アルミニ
ウム(AlN)を使用することに関する。
【0002】 (発明の背景) 1つのICチップ上に非常に多くの部品を集積することは、複雑な内部接続を
必要とする。理想的には、この内部接続構造は、最小の信号遅延と最適な充填密
度とを有するように組み立てられるべきである。それらのますます高まる重要性
のために、このような内部接続構造の品質は、組み立てられた集積回路の信頼性
及び性能に劇的に影響を与える。現在、このような内部接続構造は、現代の大規
模集積回路(VLSI)の性能及び密度にはいよいよ限界となってきている。
【0003】 最近まで、アルミニウム及びその合金は、低い電気抵抗、層間絶縁膜に通常用
いられる二酸化珪素(SiO)への強力な接着、などの魅力的な特徴を持つた
め、電気的内部接続用の導電材として幅広く用いられてきた。しかし、残念なこ
とに、VLSIの寸法が深いサブミクロン体制に達したために、アルミニウム及
びその合金はより良い性能を達成するための阻害要因となってしまった。例えば
、寸法を縮小することによって、エレクトロマイグレーションなどのアルミニウ
ムの信頼性に関する事項によって設計ルールが制限されてしまう。これは、次に
、開回路又は窪み、応力によって誘起された窪み形成、比較的低温での隆起、又
は湿度によって誘起された腐食に対する電位を上げる。
【0004】 上記理由により、内部接続の性能、信頼性、及び密度を向上させようとする試
みにおいて、超小型電子技術産業は、最近、アルミニウム及びその合金に代わる
別の金属へ向けて移住してきている。したがって、銅及び酸化銅についての研究
が進められている。これは、主として、銅が高い伝導性と非常に低い電気抵抗と
エレクトロマイグレーションに対する良好な耐性とを有するため、銅は次世代の
集積回路に対して見込のある内部接続材となっている。残念ながら、銅は、Si
又はポリイミドやパリレンなどの中間層誘電体を通じて急速に拡散する。内
部接続構造における銅拡散は、IC基板に形成されたトランジスタやキャパシタ
などの能動素子を破壊し得る。加えて、銅の中間層誘電体(特に、SiO)へ
の接着は一般的に弱く、基礎基板材への金属接着は信頼性の高い内部接続構造を
形成するためには優れていなければならない。さらに、銅は低温で容易に酸化し
、基板への接着が弱い。銅は、更に、ほとんどのサリサイドと低い反応温度を有
し、リアクティブイオンエッチングによるパターニングには高温を必要とする。
【0005】 銅内部接続によって引き起こされるこれら不利益を克服する試みは、主として
、内部接続構造に採用された銅の酸化メカニズムの理解に磨きをかけることに向
けられている。例えば、W.A.Lanfordは、銅フィルムを表面保護する
のに効果的な方法としてイオン・インプランテーションを研究した。Lanfo
rd,W.A.ら、「Low−temperature passivatio
n of copper by doping with Al or Mg」
、THIN SOLID FILMS、1995年、234〜241頁。銅の成
長メカニズムを分析することによって、Lanfordは、AlやMgなどの添
加物をごく少量銅に加えることによって、酸化速度を低減させることができるこ
とを観測した。
【0006】 同様に、P.J.Dingらは、「investigation of th
e mechanism responsible for the corr
osion resistance of B implanted copp
er」、B85 NUCL.INSTRUM.METHODS PHYS. R
ES.、1994年、260〜263頁において、ホウ素(B)がインプラント
された銅の対腐食性(特に、そのメカニズム)を研究している。ホウ素がインプ
ラントされた銅及び酸化銅(CuO)の酸化を調査することによって、Din
gは、ホウ素がインプラントされたCuOの酸化速度はホウ素がインプラント
された銅金属(Cu)のそれと同じくらい低いことに気が付いた。
【0007】 銅内部接続の不利益を克服する他の方法は、内部接続が形成される前に酸化銅
を素早く除去するために銅層を引っ掻くこと、或いは、バリア層を用いて銅表面
を保護することを未だに含んでいる。例えば、米国特許第4,9877,777
50号は、窒化チタン(TiN)、タングステン(W)、窒化タングステン(W
N)、窒化ジルコニウム(ZrN)、炭化チタン(TiC)、炭化タングステン
(WC)、タンタル(Ta)、窒化タンタル(TaN)、又はチタン・タングス
テン(TiW)を銅に対するバリア層として用いることについて開示している。
【0008】 同様に、Liらに対する米国特許第5,4477,599号は、TiN(O)
を銅に対するバリア層材として用いることについて開示している。この銅は、最
初に、チタン層でコーティングされ、加熱によって銅チタン合金が形成される。
次いで、反応を起こしていないチタンが除去され、この合金はアンモニア及び酸
素中での急速熱焼きなましによってTiN(O)へ転換される。
【0009】 しかし、上記材料の多くは、非導電酸化物も生成し、低い電気又は熱伝導性又
は高い熱膨張を有する。さらに、これらバリア層の多くは、500℃より高い温
度で安定性を示す。シリコン基板は、一般的に、IC組み立て中に500℃より
高い温度を必要とする焼きなましや還流加工などの後続の工程を受けるため、後
続の基板処理工程に必要な高温で安定的なバリア層が必要である。さらに、銅は
、電気的内部接続技術においてますます使われてきているため、基板が後続の処
理工程を受けた後であってもその金属化抵抗が低く保たれるようにしつつ、銅の
酸化を効果的に防止する加工を更に向上させることが望ましい。
【0010】 銅拡散及び酸化も非常に重要であるが、超小型電子技術産業における高密度集
積は、大量の電流を制御する結果として生成される大量の熱の問題にも直面する
。したがって、半導体基板の温度の許容できない上昇を防ぐために、生成された
大量の熱を放射させることが必須である。このため、半導体産業は熱放射基板を
用いてきており、比較的成功している。しかしながら、従来のパワ半導体素子に
おいて用いられる熱放射基板の主要な欠点は、それらの非常に複雑な構造である
。最近、窒化アルミニウム(AlN)が注目を集めている。これは、主に、Al
Nが、優れた絶縁耐力(140〜170kV/cm)と、良好な熱伝導性(90
W/m.℃)とを有するためである。例えばNakahashiらに対する米国
特許第4,611,745号に開示されているように、AlN基板を銅メンバへ
接合することが試みられている。
【0011】 したがって、酸化して非伝導性材を形成することのない改善された集積回路の
銅内部接続構造が必要である。良好な電気伝導性、良好な熱伝導性、及び低い熱
膨張を有する抑制された酸化物成長層を有する銅内部接続構造及びそれを形成す
るシンプルな処理も必要である。
【0012】 (発明の開示) 本発明は、強化された熱伝導性を提供するAlNバリア層を有する銅内部接続
構造を提供する。本発明は、更に、金属層と結合構造との間の接触接着を増やす
ことによって結合率を更に強化する銅の上にAlNバリア層を採用することによ
って銅表面の保護も提供する。
【0013】 本発明の上記及び他の利点及び特徴を、添付図面と共に提供される以下の本発
明の詳細な説明からよりはっきりと明らかにする。
【0014】 (発明の詳細な説明) 以下の詳細な説明において、本発明を実施し得る様々な特定の実施形態が参照
される。これら実施形態は、当業者が本発明を実施するのに十分な程度に詳しく
説明される。他の実施形態も採用され得ること、及び、構造的、電気的、及び方
法的変更も可能であり、これらは本発明を逸脱することなく置換される等価物と
なり得ること、は明らかである。したがって、以下の詳細な説明は、限定する意
味に取られてはならず、本発明の範囲は付属の請求項によって定義される。
【0015】 以下の説明で用いられる「基板」という語は、本発明の構造を形成するために
露出したシリコン表面を有するあらゆる半導体ベースの構造を含む。この基板と
いう語は、絶縁体上シリコン、ドープ及びアンドープ・シリコン、ベース半導体
基礎によって支えられたシリコンのエピタキシャル層、及び他の半導体構造を含
むものとして理解されるべきである。さらに、以下の説明において基板について
言及するとき、ベース半導体基板又は基礎に又は上に領域又は結合を形成するた
めに前の処理工程が利用され得る。
【0016】 「銅」という語は、銅元素のみならず、他の微量の金属を有する銅や、導電性
を有する限り半導体分野で知られた他の金属との様々な合金結合を含むことが意
図されている。
【0017】 以下の説明で用いられる「Al」という語は、「x」と「y」が共に5
0原子%(又は0.5)に等しい理想的なAlN化合物のみならず、「x」と「
y」の値が異なるAlも含む。すなわち、Alは、「x」が0.5
より小さく、「y」が0.5より大きい、或いは、「x」が0.5より大きく、
「y」が0.5より小さい、他のあらゆるAl化合物(例えば、x=0.
25及びy=0.75、或いは、x=0.66及びy=0.33)を含む。さら
に、Alという語は、AlN元素のみならず、AlNが共に影響を受けな
い特性である限り例えば酸素や炭素などの他の微量の金属又は元素を有するAl
Nをも含むことが意図されている。
【0018】 ここで図面を参照する。同じ要素には同じ参照番号が付されている。図1乃至
10は、本発明の改善された銅内部接続構造の一実施形態を示す。図1は、組み
立ての中間ステージにおけるDRAM用の従来のメモリ・セル構造を示す。ここ
で、くぼみトランジスタをそれぞれ有する一組のメモリ・セルが基板12上に形
成される。図1の構造は、くぼみ13を有する基板12を含む。この基板12は
、通常、例えばNMOS又はPMOSトランジスタが形成されるか否かに依存し
たpタイプ又はnタイプという所定の導電性へドープされる。この構造は、更に
、フィールド酸化膜領域14、従来のドープ・アクティブ・エリア16、及び一
組のゲート・スタック30を含む。これらはすべてよく知られた半導体処理技術
に従って形成される。ゲート・スタック30は、酸化物層18、ポリシリコンな
どの導電層20、窒化物セパレータ32、及び窒化物キャップ22を含む。
【0019】 ゲート酸化物領域の上空には、ポリシリコン・ゲート、保護窒化物領域、及び
第一の絶縁層24(図1)が堆積される。絶縁層24は、例えば、boroph
osphosilicateガラス(BPSG)、ホウケイ酸ガラス(BSG)
、又はphosphosilicateガラス(PSG)などである。
【0020】 次いで、図2を参照する。図2は、簡便のため、図1の中央部分のみを示す。
第一の絶縁層23を貫いて半導体基板12内へ接触開口部40(図3)を作成す
るために、フォトレジスタ材26(図2)が堆積され、従来通りのフォトリソグ
ラフィ工程を用いてパターニングされる。パターニング後、フォトレジスタ層2
6に後続の酸化物エッチング用の初期開口部27(図2)が存在する。次いで、
図2の構造は、エッチングされ、フォトレジスタが取り除かれ、図3に示すよう
に、接触開口部40が第一の絶縁層24を貫いて形成される。図3の接触開口部
40は、接触開口部40が基板12のソース又はドレイン領域と接触するように
エッチングされる。
【0021】 次に、接触開口部40は、図4に示すように、プラグ又はフィラー50を形成
するために、第一の絶縁層24の二次元表面に又はその近くに二次元化されたド
ープ・ポリシリコン、コバルト、窒化チタン(TiN)、タングステン(W)、
窒化タングステン、銅、アルミニウム、又は白金などの導電材で充填される。プ
ラグ50を充填するのにはあらゆる導電性材が用いられ得るが、簡便のため、プ
ラグ50をポリシリコン・プラグ50と呼ぶ。ポリシリコン・プラグ50は、次
いで、その上面が第一の絶縁層24の二次元表面と同じ高さに窪むまで異方性エ
ッチングされる。
【0022】 次に、例えば、珪素酸化物(SiO)、オルトケイ酸テトラエチル(TEO
S)、borophosphosilicateガラス(BPSG)、ホウケイ
酸ガラス(BSG)、phosphosilicateガラス(PSG)、又は
、SILK、FLARE、若しくはBlack Diamondなどの低誘電材
である第二の絶縁層25(図5)が、第一の絶縁層24及びポリシリコン・プラ
グ50の上に堆積される。再び、第一の絶縁層24を貫く接触開口部40(図3
)の形成で用いたのと同じ加工技術を用いて、第二の絶縁層25を貫く窓41(
図5)が形成される。
【0023】 窓41(図5)の形成に続いて、薄いバリア層52(図6)が、CVD、PV
D、スパッタリング、又は蒸発によって、ポリシリコン・プラグ50及び第二の
絶縁層25上に約60〜200オングストロームの厚さに形成される。バリア層
52に好ましい材料は、耐熱窒化金属(例えば、TiN、又はHfN)、耐熱炭
化金属(例えば、TiC、又はWC)、又は、耐熱ホウ化金属(例えば、TiB
、又はMoB)などの耐熱金属化合物である。しかし、注意すべきことは、バリ
ア層52に好ましい材料が幅広い種類の金属化合物を有するとはいえ、ケイ酸チ
タン(TiSi)は好まれないことである。なぜなら、ケイ酸チタンのシリコ
ンはバリア層52の上面で後で形成される銅(図7)と反応するからである。し
たがって、バリア層52の金属化合物は、銅拡散に耐性を有していなければなら
ない。また、非耐熱金属もバリア層52に用いられ得るが、耐熱金属の方がより
好ましい材料である。本分野では知られているように、バリア層52は、更に、
プラグ50の金属とバリア層52との間、更に続いて堆積される銅(図7)とバ
リア層52との間、に低電気抵抗及び低接触抵抗を提供しつつ、プラグ50のシ
リコン又は金属原子の拡散を抑制しなければならない。
【0024】 次いで、図7を参照する。内部接続銅層55がバリア層52上に堆積される。
銅層55は、基板12上に形成された様々な素子を内部接続する金属線を形成す
るのに用いられる。バリア層52は、銅層55からの銅の拡散を防ぎ、上述のよ
うに、銅はバリア層52に良好に接着する。内部接続銅層55の接着は、信頼性
の高い集積回路の製造のために非常に重要である。次に、銅層55は、銅プラグ
又は導電体56(図8)を形成するためにエッチ・バックされる。本発明の好ま
しい実施形態において、金属層55(図7)は、ケミカル・メカニカル・ポリッ
シング(CMP)、又はよく知られたRIEドライ・エッチング加工を用いて、
エッチ・バックされる。ケミカル・メカニカル・ポリッシングにおいて、銅層5
5の上面と第二の絶縁層25の二次元表面へ下がる又は近くのバリア層52の水
平部分とを除去するために、研磨剤磨きが用いられる。このように、バリア層5
2の上面及び銅プラグ56は、図8に示すように、基板表面全体にわたって均一
である。このようなケミカル・メカニカル・ポリッシング加工は、非常に平らな
表面を生成する。これは高密度のマルチレベル集積回路の製造において非常に重
要なことである。
【0025】 磨き加工が完了すると、銅内部接続構造100(図9)の形成を完成させるこ
とができるように、Al保護層60(図9)(x及びyは同じでも異なっ
てもよい)が銅プラグ56及び第二の絶縁層25の上面の上に形成される。簡便
のため、本願では、Al保護層60をAlN保護層60と呼ぶ。よって、
銅内部接続構造100は、ポリシリコン(又は他の導電体の)・プラグ50と、
バリア層52と、銅プラグ56と、AlN保護層60とを有する。
【0026】 AlN保護層60(図9)は、例えば、プラズマ、反応性スパッタリング、又
は従来通りの化学蒸着堆積を用いて堆積され、基板12にわたって連続的でスム
ーズなAlN層を形成する。これは、銅プラグ56と、第二の絶縁層25の上面
とを含む。AlN保護層60は、約100〜1,000オームストロングの厚さ
を有し、約300オームストロングの厚さを有するのが好ましい。AlNは、更
に、良好な熱膨張係数(2.6×10e(−6))、高い融点(2400℃)、
及び非常に高い熱伝導率(1.5W/cmK)を有する。AlN保護層60は、
半導体基板及び銅内部接続構造100の温度が上昇するのを防ぐために、大量の
熱を放射させるという追加的利点を有する。したがって、AlN層は、銅を保護
しつつ、銅内部接続構造100に対する熱伝導性誘電バリア層として用いること
ができる。
【0027】 さらに、AlN保護層を銅についての保護層且つ熱伝導層として説明してきた
が、AlNは他の冶金学と共に用いられる熱分散路を構成し得る。本発明は、A
lN層を銅のための熱伝導体として使用することに限定されない。したがって、
AlN層は、他の金属及びそれと接触するそれらの対応する合金(例えば、数個
だけ例を挙げると、様々な金属化スキームにおいて電気伝導体として用いられる
アルミニウム、金、銀、タングステン、又はガリウムヒ素など)に対する熱分散
路としても用いられ得る。
【0028】 AlN層の形成及び後続の銅の保護を容易にするために、銅プラグ56の表面
は、AlN保護層の形成前に、クリーニング及び/又は前処理され得る。したが
って、現位置クリーニング技術が用いられる場合、AlN保護層の形成に先立っ
て銅プラグの銅表面上に形成された酸化銅や他のあらゆる残留粒子(例えば、酸
化アルミニウムやドライ・スラリーなど)を掃き採るために、アルゴンやネオン
などの希ガスが用いられ得る。別の方法として、ウエット・ケミカル・エッチン
グなどのイクス・シチュー(ex−situ)技術が、AlN層の形成に先立っ
て銅表面を前処理するために用いられてもよい。
【0029】 図9には銅内部接続構造100を1つしか図示していないが、当業者には容易
に明らかなように、このような銅内部接続構造は、事実、何個でも基板12上に
形成される。
【0030】 さらに、図9は、AlN層によって保護された銅プラグを1つしか有しない銅
内部接続構造を示しているが、このような対応するAlN層を有する銅プラグは
素子の特定の要求に応じて何個でも形成され得ることは明らかである。例えば、
図10に示すように、2つの銅プラグ56、56aがポリシリコン(又は、他の
導電体)50の上に形成されてもよい。ここで、銅プラグ56aは銅プラグ56
の上部に隣接している。図5乃至9を参照して既に説明したように銅プラグ56
の加工と同じ処理工程が銅プラグ56aの加工についても採用される。したがっ
て、銅プラグ56aは、最初に、第二の絶縁層25a(図10)を貫いて形成さ
れ、次いで、AlN保護層60(図9)の上部上に形成される。次に、2つのA
lN層によって保護された2つの銅プラグを含む銅内部接続構造100(図10
)の形成を完了させることができるように、Al保護層60a(図10)
(x及びyは同じでも異なってもよい)が銅プラグ56a及び第二の絶縁層25
aの上に形成される。銅内部接続構造100と基板12のソース又はドレイン領
域16との間に電気的接触を提供するための追加的工程が採用されてもよい。注
意すべきことは、図10は互いに隣接する2つの銅プラグ56、56aを示して
いるが、対応するAlN層によって保護された複数の銅プラグは、マルチレベル
内部接続システムに対して有効な電気路が実現され得る限り、隣接している必要
はない。
【0031】 銅プラグ56a及びバリア層52aから銅プラグ56、バリア層52、及びポ
リシリコン・プラグ50へ延び、基板12のソース又はドレイン領域16に下が
る有効な電気路を生成するための追加的内部接続層及び関連する誘電層が形成さ
れ得る。窒化アルミニウムは、熱伝導体であると共に、誘電体でもあることに注
意。よって、当業者は、マルチレベルの内部接続のための有効な電気路を生成す
るためには、銅プラグ56、56aとより高いレベルの金属化パスとの間に別の
電気的接続を可能にする小さい接触開口部がAlN層に形成されなければならな
いことに気が付くであろう。
【0032】 さらに、本発明は、特定の形の内部接続に限定されず、導電ワイヤ、TAB、
C4若しくはバンプス、導電性接着などのあらゆる銅内部接続構造と共に用いら
れ得る。したがって、銅伝導体に接続されたAlN層60(図9〜10)を参照
して本発明を説明してきたが、ALN層60は、結合パッド及び/又は外部ヒー
ト・シンクなどの外部熱路に更に接続され得る。
【0033】 さらに、本発明を導電性プラグを貫くメモリ・セルの能動エリアに接続された
銅プラグとして説明してきたが、本発明が銅プラグに限定されないことは明らか
であり、本発明は、マルチレベル内部接続システムのための銅層金属及び他の導
電性プラグ及び金属化層と共に用いることもできる。マルチレベル内部接続の微
量の銅に対する熱伝導体及び保護層として機能するAlN層は、銅内部接続構造
100(図1〜10)の形成を参照して説明したAlN層60、60aの堆積に
ついて採用されたのと同じ方法で堆積させることができる。
【0034】 本発明に係る銅内部接続構造を含むメモリ回路448(例えば、DRAM)を
含む典型的なプロセッサ・ベースのシステム400を図11に示す。コンピュー
タ・システムなどのプロセッサ・システムは、通常、マイクロプロセッサや、デ
ィジタル・シグナル・プロセッサ、他のプログラム可能なディジタル論理装置な
どのバス452と通じて入出力(I/O)装置446と通信する央演算装置(C
PU)444を有する。メモリ448は、バス452を通じて、該システムと通
信する。
【0035】 コンピュータ・システムの場合、プロセッサ・システムは、同じくバス452
を通じてCPU444と通信するフロッピィ(R)・ディスク装置454やコン
パクト・ディスク(CD)ROM装置456などの周辺機器を含んでもよい。メ
モリ448は、図1〜10について既に述べたように形成された銅内部接続構造
を含む集積回路として構築されることが好ましい。メモリ448は、例えばCP
U444などのプロセッサと単一の集積回路にまとめられてもよい。
【0036】 ここで説明した例示的実施形態は1つの銅内部接続構造を指しているが、本発
明は複数の銅内部接続構造の使用についても考慮していることは明らかであり、
図示した実施形態に限定されるものではない。したがって、上記説明及び図面は
、本発明の特徴及び利点を実現する例示的実施形態の説明とだけ考えられるべき
である。特定の加工条件及び構造に対して修正及び置換を加えることは、本発明
の意図及び範囲を逸脱することなく可能である。したがって、本発明は、以上の
説明及び図面によって限定されるものと考えられるべきではなく、付属の請求項
の範囲によってのみ限定されるものである。
【図面の簡単な説明】
【図1】 本発明の好ましい実施形態及び方法に係る銅内部接続構造の構成を示す従来の
メモリDRAM素子の一部の概略横断面図である。
【図2】 図1に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図3】 図2に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図4】 図3に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図5】 図4に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図6】 図5に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図7】 図6に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図8】 図7に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図9】 図8に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図10】 図9に続く後続処理ステージにおける図1の銅内部接続構造の概略横断面図で
ある。
【図11】 本発明に係る銅内部接続構造を有するメモリ・セルを有するコンピュータ・シ
ステムを示す図である。
【手続補正書】
【提出日】平成14年10月23日(2002.10.23)
【手続補正1】
【補正対象書類名】図面
【補正対象項目名】全図
【補正方法】変更
【補正の内容】
【図1】
【図2】
【図3】
【図4】
【図5】
【図6】
【図7】
【図8】
【図9】
【図10】
【図11】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CO,CR,CU,CZ,DE ,DK,DM,DZ,EE,ES,FI,GB,GD, GE,GH,GM,HR,HU,ID,IL,IN,I S,JP,KE,KG,KP,KR,KZ,LC,LK ,LR,LS,LT,LU,LV,MA,MD,MG, MK,MN,MW,MX,MZ,NO,NZ,PL,P T,RO,RU,SD,SE,SG,SI,SK,SL ,TJ,TM,TR,TT,TZ,UA,UG,UZ, VN,YU,ZA,ZW Fターム(参考) 5F033 HH04 HH07 HH11 HH15 HH19 HH32 HH33 HH34 HH36 JJ01 JJ04 JJ07 JJ08 JJ11 JJ15 JJ19 JJ32 JJ33 JJ34 JJ36 KK01 KK07 KK08 KK11 KK15 KK19 KK32 KK33 KK34 KK36 LL04 MM02 MM05 MM12 MM13 MM15 NN06 NN07 NN37 NN40 PP06 PP14 PP15 PP16 PP19 QQ09 QQ13 QQ16 QQ31 QQ37 QQ48 QQ58 QQ65 QQ92 QQ94 RR03 RR04 RR05 RR13 RR14 RR15 RR21 SS04 TT08 VV16 XX09 XX15 XX28 5F058 BA05 BD01 BD12 BE10 BF12 BJ02

Claims (57)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板に電気的接続を提供する銅内部接続構造であって
    、 導電性プラグと、 該導電性プラグに電気的に結合された銅導電体と、 該銅導電体の上面部分上に前記基板上の連続的な層として形成された窒化アル
    ミニウム層と、 該窒化アルミニウム層に結合された少なくとも1つの結合パッド及び外部熱分
    散路と、を有することを特徴とする銅内部接続構造。
  2. 【請求項2】 請求項1記載の銅内部接続構造であって、 前記窒化アルミニウム層は、前記結合パッドに接続されることを特徴とする銅
    内部接続構造。
  3. 【請求項3】 請求項1記載の銅内部接続構造であって、 前記窒化アルミニウム層は、前記外部熱分散路に接続されることを特徴とする
    銅内部接続構造。
  4. 【請求項4】 請求項1記載の銅内部接続構造であって、 前記銅導電体は、前記導電性プラグ上に形成された銅プラグであり、 前記導電性プラグと前記銅導電体との間にバリア層を更に有することを特徴と
    する銅内部接続構造。
  5. 【請求項5】 請求項1記載の銅内部接続構造であって、 前記導電性プラグは、前記基板の能動エリアに接続されることを特徴とする銅
    内部接続構造。
  6. 【請求項6】 請求項1記載の銅内部接続構造であって、 前記銅導電体は、銅元素から形成されることを特徴とする銅内部接続構造。
  7. 【請求項7】 請求項1記載の銅内部接続構造であって、 前記窒化アルミニウム層は、前記銅導電体用の熱分散路として機能することを
    特徴とする銅内部接続構造。
  8. 【請求項8】 請求項1記載の銅内部接続構造であって、 前記窒化アルミニウム層は、前記銅導電体の前記上面を保護することを特徴と
    する銅内部接続構造。
  9. 【請求項9】 請求項1記載の銅内部接続構造であって、 前記窒化アルミニウム層は、約100〜1000 【数1】 の厚さを有することを特徴とする銅内部接続構造。
  10. 【請求項10】 請求項1記載の銅内部接続構造であって、 前記窒化アルミニウム層は、約300 【数2】 の厚さを有することを特徴とする銅内部接続構造。
  11. 【請求項11】 請求項1記載の銅内部接続構造であって、 前記導電性プラグは、ポリシリコン、コバルト、窒化チタン、タングステン、
    窒化タングステン、銅、アルミニウム、及び白金から成るグループから選択され
    た材料から形成されることを特徴とする銅内部接続構造。
  12. 【請求項12】 請求項4記載の銅内部接続構造であって、 前記バリア層は、耐熱金属化合物を有することを特徴とする銅内部接続構造。
  13. 【請求項13】 請求項12記載の銅内部接続構造であって、 前記耐熱金属化合物は、耐熱窒化金属、耐熱炭化金属、及び耐熱ホウ化金属か
    ら成るグループから選択されることを特徴とする銅内部接続構造。
  14. 【請求項14】 請求項1記載の銅内部接続構造であって、 前記銅導電体は、金属化層の一部であることを特徴とする銅内部接続構造。
  15. 【請求項15】 半導体基板上に電気的接続を提供する内部接続構造であっ
    て、 導電性プラグと、 該導電性プラグに電気的に結合された導電体と、 該銅導電体の上面部分上に形成された窒化アルミニウム層と、を有し、 該窒化アルミニウム層は前記導電体に熱分散路を提供する、ことを特徴とする
    内部接続構造。
  16. 【請求項16】 請求項15記載の内部接続構造であって、 前記導電性プラグは、前記基板の能動エリアに接続されることを特徴とする内
    部接続構造。
  17. 【請求項17】 請求項15記載の内部接続構造であって、 前記窒化アルミニウム層は、約100〜1000 【数3】 の厚さを有することを特徴とする内部接続構造。
  18. 【請求項18】 集積回路用の銅内部接続構造であって、 銅層と、 該銅層の上面部分上に形成された窒化アルミニウム層と、を有することを特徴
    とする銅内部接続構造。
  19. 【請求項19】 請求項18記載の銅内部接続構造であって、 前記銅層と接触している導電体を更に有することを特徴とする銅内部接続構造
  20. 【請求項20】 請求項19記載の銅内部接続構造であって、 前記銅層と前記導電体との間に形成された導電性バリア層を更に有することを
    特徴とする銅内部接続構造。
  21. 【請求項21】 請求項19記載の銅内部接続構造であって、 前記銅層は、前記集積回路の金属化層の少なくとも一部を形成することを特徴
    とする銅内部接続構造。
  22. 【請求項22】 基板への電気的接続を提供する銅内部接続構造を形成する
    方法であって、 前記基板の第一の絶縁層に第一の接触開口部を形成する工程と、 前記第一の接触開口部に導電性プラグを形成する工程と、 前記導電性プラグ及び前記第一の絶縁層の上に第二の絶縁層を形成する工程と
    、 前記第二の絶縁層に第二の接触開口部を形成する工程と、 前記第二の接触開口部にバリア層を形成する工程と、 前記バリア層上に銅導電体を形成する工程と、 前記銅導電体の上面部分上に窒化アルミニウム層を形成する工程と、を有し、 前記窒化アルミニウム層は前記銅導電体の前記上面部分を保護することを特徴
    とする方法。
  23. 【請求項23】 請求項22記載の方法であって、 前記銅層及び前記バリア層をケミカル・メカニカル・ポリッシングする工程を
    更に有することを特徴とする方法。
  24. 【請求項24】 請求項22記載の方法であって、 前記窒化アルミニウム層の形成に先立って、前記銅導電体の前記上面部分をク
    リーニングする工程を更に有することを特徴とする方法。
  25. 【請求項25】 請求項22記載の方法であって、 前記窒化アルミニウム層は、堆積によって、約300 【数4】 の厚さに形成されることを特徴とする方法。
  26. 【請求項26】 請求項22記載の方法であって、 前記窒化アルミニウム層を形成する工程は、堆積プロセスを含むことを特徴と
    する方法。
  27. 【請求項27】 請求項22記載の方法であって、 前記窒化アルミニウム層を形成する工程は、スパッタリング・プロセスを含む
    ことを特徴とする方法。
  28. 【請求項28】 請求項22記載の方法であって、 前記バリア層は、耐熱金属化合物から形成され、 前記耐熱金属化合物は、耐熱窒化金属、耐熱炭化金属、及び耐熱ホウ化金属か
    ら成るグループから選択されることを特徴とする方法。
  29. 【請求項29】 半導体素子に電気的接続を提供する内部接続構造を形成す
    る方法であって、 前記素子の絶縁層に接触開口部を形成する工程と、 前記接触開口部内に導電体を堆積する工程と、 前記導電体の上面部分上に窒化アルミニウム層を形成する工程と、を有するこ
    とを特徴とする方法。
  30. 【請求項30】 請求項29記載の方法であって、 前記導電体を堆積する工程の前に前記接触開口部にバリア層を堆積する工程を
    更に有することを特徴とする方法。
  31. 【請求項31】 請求項29記載の方法であって、 前記窒化アルミニウム層の形成に先立って、前記導電体の前記上面部分をクリ
    ーニングする工程を更に有することを特徴とする方法。
  32. 【請求項32】 請求項29記載の方法であって、 前記窒化アルミニウム層は、堆積によって、約300 【数5】 の厚さに形成されることを特徴とする方法。
  33. 【請求項33】 請求項29記載の方法であって、 前記窒化アルミニウム層を形成する工程は、堆積プロセスを含むことを特徴と
    する方法。
  34. 【請求項34】 請求項29記載の方法であって、 前記窒化アルミニウム層を形成する工程は、スパッタリング・プロセスを含む
    ことを特徴とする方法。
  35. 【請求項35】 請求項29記載の方法であって、 前記導電体は、アルミニウム、金、銀、タングステン、及び銅から成るグルー
    プから選択されることを特徴とする方法。
  36. 【請求項36】 集積回路構造であって、 基板と、 該基板上のゲートと、該ゲートに隣接して堆積された前記基板のソース/ドレ
    イン領域とを含むトランジスタと、 前記ソース/ドレイン領域の少なくとも1つに電気的接続を提供する銅内部接
    続構造と、を有し、 前記銅内部接続構造は、 前記基板の前記ソース/ドレイン領域に接続された導電性プラグと、 該導電性プラグの上部上に設けられた銅導電体と、 該銅導電体の上面部分上に形成された窒化アルミニウム層と、を有する、こ
    とを特徴とする構造。
  37. 【請求項37】 請求項36記載の構造であって、 前記導電性プラグと前記銅導電体との間に形成されたバリア層を更に有するこ
    とを特徴とする構造。
  38. 【請求項38】 請求項36記載の構造であって、 前記窒化アルミニウム層は、前記銅導電体の前記上面部分を保護することを特
    徴とする構造。
  39. 【請求項39】 請求項36記載の構造であって、 前記窒化アルミニウム層は、前記銅導電体用の熱分散路として機能することを
    特徴とする構造。
  40. 【請求項40】 請求項36記載の構造であって、 前記窒化アルミニウム層は、結合パッドに接続されることを特徴とする構造。
  41. 【請求項41】 請求項36記載の構造であって、 前記窒化アルミニウム層は、外部熱分散路に接続されることを特徴とする構造
  42. 【請求項42】 請求項36記載の構造であって、 前記窒化アルミニウム層は、約100〜1000 【数6】 の厚さを有することを特徴とする構造。
  43. 【請求項43】 請求項36記載の構造であって、 前記窒化アルミニウム層は、約300 【数7】 の厚さを有することを特徴とする構造。
  44. 【請求項44】 請求項36記載の構造であって、 前記導電性プラグは、ポリシリコン、コバルト、窒化チタン、タングステン、
    窒化タングステン、銅、アルミニウム、及び白金から成るグループから選択され
    た材料から形成されることを特徴とする構造。
  45. 【請求項45】 請求項37記載の構造であって、 前記バリア層は、耐熱金属化合物を有することを特徴とする構造。
  46. 【請求項46】 請求項45記載の構造であって、 前記耐熱金属化合物は、耐熱窒化金属、耐熱炭化金属、及び耐熱ホウ化金属か
    ら成るグループから選択されることを特徴とする構造。
  47. 【請求項47】 銅内部接続構造を含む集積回路であって、 前記銅内部接続回路は、 銅層と、 該銅層上に形成された窒化アルミニウムの層と、を有することを特徴とする
    集積回路。
  48. 【請求項48】 請求項47記載の集積回路であって、 前記銅層と接触する導電性プラグを更に有することを特徴とする集積回路。
  49. 【請求項49】 請求項48記載の集積回路であって、 前記銅層と前記導電性プラグとの間に形成された導電性バリア層を更に有する
    ことを特徴とする集積回路。
  50. 【請求項50】 請求項47記載の集積回路であって、 前記銅層は、前記集積回路の金属化層の少なくとも一部を形成することを特徴
    とする集積回路。
  51. 【請求項51】 請求項47記載の集積回路であって、 前記窒化アルミニウム層は、前記銅層用の熱分散路として機能することを特徴
    とする集積回路。
  52. 【請求項52】 請求項47記載の集積回路であって、 前記窒化アルミニウム層は、前記銅層を保護することを特徴とする集積回路。
  53. 【請求項53】 内部接続構造を含む集積回路であって、 前記内部接続構造は、 導電層と、 該導電層上に形成された窒化アルミニウムの層と、を有することを特徴とす
    る集積回路。
  54. 【請求項54】 請求項53記載の集積回路であって、 前記導電層と接触する導電性プラグを更に有することを特徴とする集積回路。
  55. 【請求項55】 請求項53記載の集積回路であって、 前記窒化アルミニウム層は、熱分散路として機能することを特徴とする集積回
    路。
  56. 【請求項56】 請求項53記載の集積回路であって、 前記導電層と前記導電性プラグとの間に形成された導電性バリア層を更に有す
    ることを特徴とする集積回路。
  57. 【請求項57】 請求項53記載の集積回路であって、 前記導電層は、前記集積回路の金属化層の少なくとも一部を形成することを特
    徴とする集積回路。
JP2001574897A 2000-04-11 2001-04-10 銅保護層及び熱伝導体としての窒化アルミニウムの使用 Pending JP2003530694A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/547,926 2000-04-11
US09/547,926 US7061111B2 (en) 2000-04-11 2000-04-11 Interconnect structure for use in an integrated circuit
PCT/US2001/011636 WO2001078141A2 (en) 2000-04-11 2001-04-10 USE OF AlN AS COPPER PASSIVATION LAYER AND THERMAL CONDUCTOR

Publications (1)

Publication Number Publication Date
JP2003530694A true JP2003530694A (ja) 2003-10-14

Family

ID=24186702

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001574897A Pending JP2003530694A (ja) 2000-04-11 2001-04-10 銅保護層及び熱伝導体としての窒化アルミニウムの使用

Country Status (8)

Country Link
US (3) US7061111B2 (ja)
JP (1) JP2003530694A (ja)
KR (1) KR100652120B1 (ja)
AU (1) AU2001251504A1 (ja)
DE (1) DE10196065B3 (ja)
GB (1) GB2378040B (ja)
TW (1) TW520560B (ja)
WO (1) WO2001078141A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
US7622322B2 (en) * 2001-03-23 2009-11-24 Cornell Research Foundation, Inc. Method of forming an AlN coated heterojunction field effect transistor
JP4316188B2 (ja) * 2002-05-29 2009-08-19 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7153776B2 (en) * 2002-11-27 2006-12-26 International Business Machines Corporation Method for reducing amine based contaminants
US7227257B2 (en) * 2002-12-09 2007-06-05 Intel Corporation Cooling micro-channels
US7825516B2 (en) * 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US7642649B2 (en) * 2003-12-01 2010-01-05 Texas Instruments Incorporated Support structure for low-k dielectrics
US6949457B1 (en) * 2004-01-21 2005-09-27 Kla-Tencor Technologies Corporation Barrier enhancement
KR100549014B1 (ko) * 2004-07-21 2006-02-02 삼성전자주식회사 스페이서 패턴을 갖는 반도체 장치들 및 그 형성방법들
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US8399989B2 (en) * 2005-07-29 2013-03-19 Megica Corporation Metal pad or metal bump over pad exposed by passivation layer
US8148822B2 (en) * 2005-07-29 2012-04-03 Megica Corporation Bonding pad on IC substrate and method for making the same
JP5120913B2 (ja) 2006-08-28 2013-01-16 国立大学法人東北大学 半導体装置および多層配線基板
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7538398B2 (en) * 2007-06-21 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for forming a semiconductor device source/drain contact
TWI380452B (en) * 2008-03-27 2012-12-21 Au Optronics Corp Thin film transistor, active array substrate and method for manufacturing the same
US8274101B2 (en) * 2009-10-20 2012-09-25 Omnivision Technologies, Inc. CMOS image sensor with heat management structures
DE102011002769B4 (de) * 2011-01-17 2013-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
JP5909852B2 (ja) 2011-02-23 2016-04-27 ソニー株式会社 半導体装置の製造方法
US8461043B2 (en) * 2011-04-11 2013-06-11 Micron Technology, Inc. Barrier layer for integrated circuit contacts
US8610280B2 (en) * 2011-09-16 2013-12-17 Micron Technology, Inc. Platinum-containing constructions, and methods of forming platinum-containing constructions
US8846513B2 (en) * 2011-09-23 2014-09-30 Globalfoundries Inc. Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
KR101964263B1 (ko) * 2012-02-22 2019-04-01 삼성전자주식회사 불휘발성 메모리 장치 및 그 제조 방법
CN104347476B (zh) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US9299605B2 (en) * 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US9362198B2 (en) 2014-04-10 2016-06-07 Freescale Semiconductor, Inc. Semiconductor devices with a thermally conductive layer and methods of their fabrication
KR102462134B1 (ko) 2015-05-19 2022-11-02 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US11101218B2 (en) * 2018-08-24 2021-08-24 Micron Technology, Inc. Integrated assemblies having metal-containing regions coupled with semiconductor regions
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
US11404345B2 (en) * 2020-06-10 2022-08-02 Qualcomm Incorporated Advanced integrated passive device (IPD) with thin-film heat spreader (TF-HS) layer for high power handling filters in transmit (TX) path

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
JPH0697164A (ja) * 1992-09-11 1994-04-08 Mitsubishi Electric Corp 集積回路の配線及びその構造
JPH0870005A (ja) * 1994-05-31 1996-03-12 Texas Instr Inc <Ti> ダミーリード線と伝熱層を用いる高速lsi半導体装置における信頼性の向上した金属リード線
JPH09129725A (ja) * 1995-01-30 1997-05-16 Tadahiro Omi 半導体装置
JPH11204521A (ja) * 1998-01-09 1999-07-30 Toshiba Corp 半導体装置およびその製造方法
JPH11251352A (ja) * 1998-02-27 1999-09-17 Nec Corp 多層配線構造の半導体集積回路
WO1999054934A1 (en) * 1998-04-22 1999-10-28 Cvc Products, Inc. Ultra high-speed chip interconnect using free-space dielectrics
JPH11330246A (ja) * 1998-04-06 1999-11-30 Motorola Inc 銅相互接続構造および形成方法
JP2000003960A (ja) * 1998-06-12 2000-01-07 Hitachi Ltd 半導体装置及びその製造方法
JP2000021873A (ja) * 1998-06-26 2000-01-21 Fujitsu Ltd 積層構造、配線構造、その製造方法、及び半導体装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0810710B2 (ja) 1984-02-24 1996-01-31 株式会社東芝 良熱伝導性基板の製造方法
US4987750A (en) 1986-07-08 1991-01-29 Gershon Meckler Air conditioning apparatus
DE3784605T2 (de) 1986-09-17 1993-06-17 Fujitsu Ltd Verfahren zum herstellen einer halbleitervorrichtung und halbleitervorrichtung.
US5310602A (en) 1991-11-12 1994-05-10 Cornell Research Foundation Self-aligned process for capping copper lines
US5270263A (en) 1991-12-20 1993-12-14 Micron Technology, Inc. Process for depositing aluminum nitride (AlN) using nitrogen plasma sputtering
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5783483A (en) 1993-02-24 1998-07-21 Intel Corporation Method of fabricating a barrier against metal diffusion
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
US5393703A (en) * 1993-11-12 1995-02-28 Motorola, Inc. Process for forming a conductive layer for semiconductor devices
US5510293A (en) * 1994-05-31 1996-04-23 Texas Instruments Incorporated Method of making reliable metal leads in high speed LSI semiconductors using thermoconductive layers
US5625232A (en) 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
US5567523A (en) * 1994-10-19 1996-10-22 Kobe Steel Research Laboratories, Usa, Applied Electronics Center Magnetic recording medium comprising a carbon substrate, a silicon or aluminum nitride sub layer, and a barium hexaferrite magnetic layer
US5929517A (en) * 1994-12-29 1999-07-27 Tessera, Inc. Compliant integrated circuit package and method of fabricating the same
US5670387A (en) * 1995-01-03 1997-09-23 Motorola, Inc. Process for forming semiconductor-on-insulator device
US5665633A (en) 1995-04-06 1997-09-09 Motorola, Inc. Process for forming a semiconductor device having field isolation
US5650361A (en) * 1995-11-21 1997-07-22 The Aerospace Corporation Low temperature photolytic deposition of aluminum nitride thin films
JPH09260543A (ja) 1996-03-22 1997-10-03 Toshiba Corp 窒化アルミニウム配線基板およびその製造方法
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US5861328A (en) * 1996-10-07 1999-01-19 Motorola, Inc. Method of fabricating GMR devices
US6344868B1 (en) * 1997-07-23 2002-02-05 Tdk Corporation Thermal head and method of manufacturing the same
KR100256110B1 (ko) * 1997-08-16 2000-05-01 윤종용 반도체 장치의 상호연결 및 그의 형성 방법
US5847463A (en) 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6139696A (en) * 1999-10-25 2000-10-31 Motorola, Inc. Method and apparatus for forming a layer on a substrate
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6143641A (en) * 2000-01-26 2000-11-07 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6858937B2 (en) * 2000-03-02 2005-02-22 Micron Technology, Inc. Backend metallization method and device obtained therefrom
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
JPH0697164A (ja) * 1992-09-11 1994-04-08 Mitsubishi Electric Corp 集積回路の配線及びその構造
JPH0870005A (ja) * 1994-05-31 1996-03-12 Texas Instr Inc <Ti> ダミーリード線と伝熱層を用いる高速lsi半導体装置における信頼性の向上した金属リード線
JPH09129725A (ja) * 1995-01-30 1997-05-16 Tadahiro Omi 半導体装置
JPH11204521A (ja) * 1998-01-09 1999-07-30 Toshiba Corp 半導体装置およびその製造方法
JPH11251352A (ja) * 1998-02-27 1999-09-17 Nec Corp 多層配線構造の半導体集積回路
JPH11330246A (ja) * 1998-04-06 1999-11-30 Motorola Inc 銅相互接続構造および形成方法
WO1999054934A1 (en) * 1998-04-22 1999-10-28 Cvc Products, Inc. Ultra high-speed chip interconnect using free-space dielectrics
JP2000003960A (ja) * 1998-06-12 2000-01-07 Hitachi Ltd 半導体装置及びその製造方法
JP2000021873A (ja) * 1998-06-26 2000-01-21 Fujitsu Ltd 積層構造、配線構造、その製造方法、及び半導体装置

Also Published As

Publication number Publication date
WO2001078141A3 (en) 2002-06-06
KR100652120B1 (ko) 2006-11-30
TW520560B (en) 2003-02-11
US7679193B2 (en) 2010-03-16
US20070164442A1 (en) 2007-07-19
US20020175362A1 (en) 2002-11-28
GB2378040B (en) 2004-10-13
US20070042596A1 (en) 2007-02-22
WO2001078141A2 (en) 2001-10-18
KR20030030989A (ko) 2003-04-18
US7205223B2 (en) 2007-04-17
DE10196065T1 (de) 2003-04-03
US7061111B2 (en) 2006-06-13
GB0223484D0 (en) 2002-11-13
DE10196065B3 (de) 2015-04-16
GB2378040A (en) 2003-01-29
AU2001251504A1 (en) 2001-10-23

Similar Documents

Publication Publication Date Title
JP2003530694A (ja) 銅保護層及び熱伝導体としての窒化アルミニウムの使用
JP3588275B2 (ja) 半導体装置の形成方法
JP3927771B2 (ja) マイクロ電子構造体を形成させる方法
TW392201B (en) A method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer
JP4346866B2 (ja) TaN材料のバリア層を含む構造
US6573606B2 (en) Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US6870263B1 (en) Device interconnection
JP2002016135A (ja) 半導体装置の製造方法
KR100421826B1 (ko) 반도체 장치 및 그 제조방법
US20030227091A1 (en) Plating metal caps on conductive interconnect for wirebonding
US6569756B1 (en) Method for manufacturing a semiconductor device
US8404577B2 (en) Semiconductor device having a grain orientation layer
US7538024B2 (en) Method of fabricating a dual-damascene copper structure
US6518648B1 (en) Superconductor barrier layer for integrated circuit interconnects
US6774035B2 (en) Thermal processing of metal alloys for an improved CMP process in integrated circuit fabrication
US6979642B1 (en) Method of self-annealing conductive lines that separates grain size effects from alloy mobility
TWI323497B (en) Method of fabricating a dual-damascene copper structure
US20050020059A1 (en) Method for forming aluminum-containing interconnect
US20230077760A1 (en) Top via interconnects without barrier metal between via and above line
TW439213B (en) Process of copper adhesion/barrier layer
TW409151B (en) Method of fabricating a copper capping layer
TW409411B (en) Process of utilizing hybride low resistance dielectrics structure to increase the performance of damascene copper interconnect
TW463354B (en) Method for reducing resistance value of metal conducting wire

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080229

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100901

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120521

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120619