KR20030030989A - 구리 보호막 및 열 전도체로서의 알루미늄 나이트라이드 - Google Patents

구리 보호막 및 열 전도체로서의 알루미늄 나이트라이드 Download PDF

Info

Publication number
KR20030030989A
KR20030030989A KR1020027013548A KR20027013548A KR20030030989A KR 20030030989 A KR20030030989 A KR 20030030989A KR 1020027013548 A KR1020027013548 A KR 1020027013548A KR 20027013548 A KR20027013548 A KR 20027013548A KR 20030030989 A KR20030030989 A KR 20030030989A
Authority
KR
South Korea
Prior art keywords
copper
film
aluminum nitride
interconnect structure
nitride film
Prior art date
Application number
KR1020027013548A
Other languages
English (en)
Other versions
KR100652120B1 (ko
Inventor
알렌 맥티어
Original Assignee
마이크론 테크놀로지, 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크 filed Critical 마이크론 테크놀로지, 인크
Publication of KR20030030989A publication Critical patent/KR20030030989A/ko
Application granted granted Critical
Publication of KR100652120B1 publication Critical patent/KR100652120B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

구리막과 상기 구리막 상에 형성된 알루미늄 나이트라이드막을 포함하는 구리 상호 연결 구조가 개시된다. 상기 알루미늄 나이트라이드막이 상기 구리막 표면을 보호하고 반도체 기판과 아울러 상기 구리막으로부터 열을 방출시킴으로써 상기 반도체 기판의 열 전도도를 강화시킨다.

Description

구리 보호막 및 열 전도체로서의 알루미늄 나이트라이드{USE OF AlN AS COPPER PASSIVATION LAYER AND THERMAL CONDUCTOR}
단일 아이씨(IC) 칩 상에 많은 소자들을 집적하는 것은 복잡한 상호 연결을 필요로 한다. 이상적으로, 상호 연결 구조가 최소의 신호 지연 및 최적의 집적 밀도로 제조되어야 한다. 상호 연결의 높은 중요성 때문에 상호 연결 구조의 품질은 제조된 집적회로의 신뢰도 및 성능에 심하게 영향을 미친다. 현재, 상호 연결 구조는 현대의 고집적(VLSI) 회로의 성능 및 집적도의 한계를 점차 정의하고 있다.
최근까지, 알루미늄 및 그 합금은 낮은 전기적 비저항과, 전형적으로 층간 절연막으로서 사용되는 실리콘 다이옥사이드(SiO2)에 대한 강력한 접착력과 같은 매력적인 특징 때문에 전기적 상호 연결용 도전성 물질로서 폭넓게 사용되어 왔다. 하지만, 불행하게도, 고집적 디멘전(dimension)이 딥서브(deep-submicron) 체계로 접어들면서 알루미늄 및 그 합금은 우수한 성능을 성취하는데 제약 요소가 되고 있다. 예를 들면, 작은 디멘전에서는 디자인룰(design rule)이 오픈(open) 회로 또는 보이드(void), 스트레스에 의해 유도된 보이드 형성, 비교적 저온에서의 힐럭(hillock) 또는 습기에 의해 유도된 부식에 대한 잠재 가능성을 높이는 일렉트로마이그레이션(electromigration)과 같은 알루미늄 신뢰도 항목에 의해 제한되고 있다.
상기한 이유들 때문에 그리고 상호 연결의 성능과 신뢰도 및 집적도를 개선하기 위한 시도로, 마이크로일렉트로닉스(microelectronics) 산업은 최근에 알루미늄 및 그 합금에 대한 대체 금속으로 지향하여 왔다. 구리가 높은 전도도, 매우 낮은 비저항 및 양호한 내일렉트로마이그레이션(resistance to electromigration) 때문에 차세대 집적 회로를 위한 유망한 상호 연결 물질이 되어 왔으므로 그 자체로, 구리와 구리 옥사이드에 대한 연구가 이루어져 왔다. 불행하게도, 구리는 SiO2또는 폴리이미드(polyimide) 및 패리렌즈(parylenes)와 같은 층간 절연막을 거쳐 빠르게 확산한다. 상호 연결 구조에서의 구리 확산은 IC 기판에 형성된 트랜지스터와 같은 능동 소자 또는 커패시터를 파괴시킬 수가 있다. 덧붙여, 층간 절연막, 특히 SiO2에 대한 구리의 접착력은 일반적으로 불량하고 하지 기판 물질에 대한 금속 접착력은 신뢰할만한 상호 연결 구조를 형성하기 위해 우수하여야 한다. 더욱이, 구리는 저온에서 쉽게 산화하고 기판에 대하여 불량한 접착력을 갖고 있다. 구리는 또한 대부분의 살리사이드(salicide)와 낮은 반응 온도를 갖고 반응성 이온 식각에 의해 패터닝을 하는데 고온을 필요로 한다.
구리 상호 연결에 의해 겪게되는 이러한 단점들을 극복하기 위한 시도로, 상호 연결 구조에 적용된 구리에서의 산화 메커니즘의 이해를 상세히 논하는 데에 주로 노력하여 왔다. 예를 들면, W.A Lanford가 구리 박막을 보호하기 위한 효과적인 방법으로서 이온 주입을 연구하였다. Lanford, W.A 등, Low-temperature passivation of copper by doping with Al or Mg, in THIN SOLID FILMS, 234-41(1995). Lanford는 구리에 대한 성장 메커니즘을 분석을 통하여 산화율이 Al 또는 Mg와 같은 아주 작은 농도의 도펀트만을 구리에 첨가함으로써 감소될 수 있다는 것을 관찰하였다.
마찬가지로, 보론(B)이 이온주입된 구리의 내부식성, 특히 그 메커니즘이 P.J Ding 등에 의해 "Investigation of the mechanism responsible for the corrosion resistance of B implanted copper, B 85 NUCL. INSTRUM. METHOD PHYS.RES., 260-63(1994)"에서 연구되었다. 보론 이온주입된 구리 및 구리 옥사이드(Cu2O)의 산화를 조사함으로써 Ding은 보론이 이온주입된 Cu2O의 산화율이 보론이 이온주입된 구리 금속(Cu)의 산화율처럼 낮음을 발견하였다.
구리 상호 연결의 단점을 극복하기 위한 다른 방법들은 상호 연결이 형성되기 직전에 구리 옥사이드를 제거하기 위해 구리막을 긁어내는 과정, 또는 구리 표면을 보호하기 위해 장벽막을 사용하는 단계를 포함하여 왔다. 예를 들면, 미국 특허 제 4,987,750는 티타늄 나이트라이드(TiN), 텅스텐(W), 텅스텐 나이트라이드(WN), 지르코늄 나이트라이드(ZrN), 티타늄 카바이드(TiC), 텅스텐 카바이드(WC), 탄타륨(Ta), 탄타륨 나이트라이드(TaN) 또는 티타늄 텅스텐(TiW)을 구리용 장벽막으로서 사용하는 것을 기술하고 있다.
마찬가지로, 미국 특허 제 5,447,599 Li 등은 TiN(O)을 구리용 장벽막 물질로서 사용하는 것을 개시하고 있다. 구리를 먼저 티타늄막으로 코팅하고, 구리 티타늄 합금을 가열에 의해 형성시킨다. 이후, 미반응 티타늄을 제거하고 상기 합금을 암모니아 및 산소 분위기에서 급속 열처리에 의해 TiN(O)으로 변형시킨다.
하지만, 이들 물질중의 상당수가 또한 비도전성 옥사이드를 형성하거나 불량한 전기적 또는 열 전도도, 또는 높은 열적 팽창을 갖는다. 더욱이, 이들 장벽막중의 상당수가 500℃ 보다 높은 온도에서 불안정함을 나타낸다. 실리콘 기판이 500℃ 보다 높은 온도를 필요로 하는 어닐링 또는 리플로우(reflow) 공정과 같은, IC 제조동안의 후속 스텝을 받기 때문에 후속 기판 공정 스텝에 필요한 고온에서 안정한 장벽막에 대한 요구가 있다. 또한, 구리가 전기 상호 연결 기술에 더욱 사용되기 때문에 구리 산화를 효과적으로 방지하는 한편 상기 기판이 후속 공정 스텝을 겪고 난 후에도 구리의 내 금속화를 낮게 유지시키는 공정을 더욱 개선시키는 것이 바람직하다.
구리가 확산하고 산화가 매우 심각하게 유지되는 한, 마이크로일렉트로닉스 산업에서의 고밀도 집적 또한 많은 전류를 제어하는 결과로서 생성된 많은 열의 문제를 직면하게 된다. 따라서, 반도체 기판에서의 받아들이기 어려운 온도 상승을 방지하기 위해 생성된 많은 열을 방출시키는 것이 절대 필요하다. 이러한 이유 때문에 방열 기판이 비교적 성공을 거두며 반도체 산업에 사용되어 왔다. 그럼에도 불구하고 통상적인 전력 반도체 소자에 사용된 방열 기판의 주요 결점은 매우 복잡한 구조이다. 최근에, 알루미늄 나이트라이드(AlN)가 우수한 절연 강도(140~170 KV/cm)와 양호한 열적 전도도(90W/m. ℃)를 갖고 있기 때문에 관심을 끌고 있고, 예를 들면, Nakahashi 등의 미국 특허 제 4,611,745 에 개시된 바와 같이, 구리 부재에 AlN 기판을 적용하려는데 노력하여 왔다.
따라서, 비도전성 물질을 형성하기 위해 산화하지 않을 집적회로의 개선된 구리 상호 연결 구조에 대한 요구가 있다. 억제된 옥사이드 성장막이 양호한 전기 전도도, 양호한 열 전도도(thermal conductivity) 및 낮은 열적 팽창을 갖는 구리 상호 연결 구조가 또한 상기 구리 상호 연결 구조를 형성하기 위한 간단한 공정과 함께 요구된다.
본 발명은 반도체 집적회로 패키지(package)용 상호 연결 구조의 분야에 관한 것으로, 특히 2 이상의 전자 소자를 전기적으로 연결하기 위한 구리 상호 연결 구조용 보호막(passivation layer)으로서 알루미늄 나이트라이드(AlN)을 사용하는 것에 관한 것이다.
도 1은 본 발명의 바람직한 실시예와 방법에 따라 구리 상호 연결 구조의 형상을 나타낸 일반적인 메모리 디램(DRAM)의 일부분의 개략 단면도.
도 2는 도 1에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 3은 도 2에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 4는 도 3에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 5는 도 4에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 6은 도 5에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 7은 도 6에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 8은 도 7에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 9는 도 8에 도시된 공정의 후속 공정 단계에서의 도 1의 구리 상호 연결구조의 개략 단면도.
도 10은 도 9에 도시된 공정의 후속 공정 단계 단계에서의 도 1의 구리 상호 연결 구조의 개략 단면도.
도 11은 본 발명에 따라 구리 상호 연결 구조가 있는 메모리 셀을 갖는 컴퓨터 시스템의 예시도.
본 발명은 강화된 열 전도도를 제공하는 AlN 장벽막을 포함하는 구리 상호 연결 구조를 제공한다. 또한, 본 발명은 금속막과 본딩 구조 사이의 콘택 접착력을 증가시킴으로써 본딩 수율을 더욱 강화시키는 구리 상의 AlN 장벽막을 적용함으로써 구리 표면의 보호를 제공한다.
본 발명의 이러한 이점 및 특징과 다른 이점 및 특징은 첨부된 도면과 함께제공된 본 발명의 상세한 설명으로부터 더욱 명확히 이해될 것이다.
이하의 상세한 설명에서 본 발명을 실시할 수 있는 다양한 구체적인 실시예들을 참조한다. 이들 실시예들을 당 분야에서 통상의 지식을 가진 자가 본 발명을 실시할 수 있을 정도로 충분히 상세하게 설명한다. 기타 실시예들을 사용할 수 있고, 구조적, 전기적 및 방법론 변경을 할 수 있고, 등가물을 본 발명으로부터 벗어남 없이 대체할 수 있는 것으로 이해하여야 할 것이다. 따라서, 이하의 상세한 설명을 한정적인 의미로 이해하여서는 아니 되고 본 발명의 범위를 첨부된 청구범위에 의해 정의한다.
이하의 설명에서 사용된 "기판" 용어는 본 발명의 구조를 형성하기 위해 노출된 실리콘 표면을 갖는 반도체 베이스(semiconductor-based) 구조를 포함한다. "기판" 용어는 실리콘-온-인슈레이터(silicon-on-insulator: SOI), 도핑된 및 미도핑된 실리콘, 베이스 반도체 기초(foundation)에 의해 지지되는 실리콘 에피층 및 기타 반도체 구조물들을 포함하는 것으로 이해하여야 할 것이다. 더욱이, 이하의 설명에서 기판을 참조할 때 선행(previous) 공정 단계들을 베이스 반도체 구조나기초 내에 또는 상에 영역 또는 접합(junction)을 형성하는데 사용하였을 수도 있다.
"구리" 용어는 원소의 구리뿐만 아니라 기타 미량 금속을 갖는 구리 또는 상기 합금이 도전성을 갖는 한 반도체 분야에서 공지된 다른 금속과의 여러 가지 합금 화합 상태의 구리를 포함한다.
이하의 설명에서 사용된 "AlxNy" 용어는 "x"와 "y"가 50 원자 퍼센트(또는 0.5)와 같은 이상적인 AlN 화합물뿐만 아니라 "x"와 "y" 값이 상이한 AlxNy를 포함한다. 즉, AlxNy는 "x"가 0.5보다 작고 "y"가 0.5보다 크거나, "x"가 0.5보다 크고 "y"가 0.5보다 작은(예를 들면, x= 0.25 이고 y=0.75 이거나, x= 0.66 이고 y=0.33 인) AlN 화합물을 포함한다. 더욱이, "AlxNy" 용어는 원소의 AlN 뿐만 아니라 기타 미량 금속 또는 AlN의 성질이 거의 영향을 받지 않은 상태를 유지하는 한, 예를 들면 산소 또는 탄소와 같은 미량 원소를 포함하려고 한다.
도면을 참조하면, 동일 부분에는 동일 참조 부호를 부여하고, 도 1 내지 도 10은 본 발명의 개선된 구리 상호 연결 구조의 일 실시예를 나타낸다. 도 1은 각각의 액세스(access) 트랜지스터를 갖는 1쌍의 메모리 셀이 기판(12)에 형성된, 중간 제조 단계의 디램의 일반적인 메모리 셀 구조를 나타낸다. 도 1은 임의의 도전형, 예를 들면 엔모스(NMOS) 또는 피모스(PMOS) 트랜지스터가 기판(12) 내에 형성될 것인가에 의존하는 피(P)형 또는 엔(n)형으로 전형적으로 도핑된 웰(13)을 갖는 기판(12)을 포함한다. 상기 구조는 또한 공지의 반도체 공정 기술에 따라 형성된 필드 옥사이드 영역(14), 일반적인 도핑된 활성 영역(16) 및 1쌍의 게이트스택(30)을 더 포함한다. 게이트 스택(30)은 옥사이드막(18), 폴리실리콘과 같은 도전성 막(20), 나이트라이드 스페이서(32) 및 나이트라이드 캡(22)을 포함한다.
상기 게이트 옥사이드 영역, 폴리실리콘 게이트 및 보호성 나이트라이드 영역 상에 제 1 절연막(24)(도 1)을 배치한다. 절연막(24)은 예를 들면, 보로포스포실리케이트 글래스(borophosphosilicate glass: BPSG), 보로실리케이트 글래스(borosilicate glass: BSG) 또는 포스포실리케이트 글래스(phosphosilicate glass: PSG)가 될 수 있다.
단순화를 위해 도 1의 중앙부만을 나타낸 도 2를 참조한다. 제 1 절연막(24)을 거쳐 반도체 기판(12) 내에 콘택 개구(40)를 형성하기 위해 일반적인 포토리소그래피 스텝을 사용하여 포토레지스트 물질(26)(도 2)을 도포하고 패터닝한다.
패터닝을 하고 나면, 초기의 개구(27)(도 2)가 후속의 옥사이드 식각을 위한 포토레지스트층(26)에 존재한다. 그런 다음, 도 2의 구조를 식각하고 포토레지스트막(26)을 제거하여 도 3에 도시된 바와 같이, 콘택 개구(40)를 제 1 옥사이드막(24)을 거쳐 형성한다. 콘택 개구(40)가 기판(12)의 소스 및 드레인 영역(16)을 콘택하도록 도 3의 콘택 개구(40)를 식각한다.
다음에, 콘택 개구(40)를 도 4에 도시된 바와 같이, 플러그 또는 필러(50)를 형성하기 위해 제 1 절연막(24)의 평탄한 표면의 아래 또는 거의 평탄한 표면으로 평탄화되는, 도핑된 폴리실리콘, 코발트, 티타늄 나이트라이드(TiN), 텅스텐(W), 텅스텐 나이트라이드, 구리, 알루미늄 또는 플래티늄과 같은 도전성 물질로 채운다. 어떠한 도전성 물질이 플러그(50)를 채우는데 사용되어도 좋을지라도 단순화를위해 플러그(50)는 폴리실리콘 플러그(50)로 칭할 것이다. 이후, 폴리실리콘 플러그(50)의 상부면이 제 1 절연막(24)의 평탄한 표면과 동일한 레벨로 리세스(recess)될 때까지 폴리실리콘 플러그(50)를 이방성으로 식각한다.
그 다음에, 예를 들면, 실리콘 옥사이드(SiO2), 테트라에틸오소 실리케이트(TEOS), 보로포스포실리케이트 글래스(BPSG), 보로실리케이트 글래스(BSG), 포스포실리케이트 글래스(PSG) 또는 실크(SILK), 플레어(FLARE) 또는 흑 다이아몬드와 같은 저 유전율의 물질이 될 수 있는 제 2 절연막(25)(도 5)을 제 1 절연막(24)과 폴리실리콘 플러그(50)의 상부면에 적층한다. 또다시, 제 1 절연막(24)을 거쳐 콘택 개구(40)(도 3)를 형성하는데 사용한 것과 동일한 제조 기술을 사용하여 창(41)(도 5)을 제 2 절연막(25)을 거쳐 형성한다.
창(41)(도 5)의 형성에 뒤이어, CVD, PVD, 스퍼터링 또는 진공 증착에 의해 폴리실리콘 플러그(50)와 제 2 절연막(25) 상에 얇은 장벽막(52)을 약 60 내지 약 200 Å의 두께로 형성한다. 장벽막(52)을 위한 바람직한 물질은 고융점 금속 나이트라이드(예를 들면, TiN 또는 HfN), 고융점 금속 카바이드(예를 들면, TiC 또는 WC), 또는 고융점 금속 보라이드(boride)(예를 들면, TiB 또는 MoB)와 같은 고융점 금속 화합물이다. 하지만, 장벽막(52)을 위한 바람직한 물질이 다양한 금속 화합물을 포함하는 한, 티타늄 실리사이드(TiSi2)는 티타늄 실리사이드의 실리콘이 장벽막(52)의 상부면 상에 후속으로 형성되는 구리(도 7)와 반응하기 때문에 바람직하지 못하다. 따라서, 장벽막(52)의 금속 화합물은 내 구리 확산성(resistant tocopper diffusion)이어야 하고, 비 고융점 금속이 또한 장벽막(52)용으로 사용되어도 좋은 한, 고융점 금속은 여전히 바람직한 물질인 것이다. 공지된 바와 같이, 플러그(50)의 금속과 장벽막(52) 사이 및 나중에 적층되는 구리(도 7)와 장벽막(52) 사이의 낮은 비저항 및 낮은 콘택 저항을 제공하는 한, 장벽막(52)이 또한 플러그(50)의 실리콘 또는 금속 원자의 확산을 억제하여야 한다.
도 7을 참조하면, 상호 연결 구리막(55)을 장벽막(52) 상에 적층한다. 구리막(55)은 기판(12) 상에 형성된 여러 가지 소자를 상호 연결하는 금속 라인을 형성하는데 사용된다. 장벽막(52)은 구리막(55)으로부터 구리의 확산을 방지하고, 위에서 설명한 바와 같이, 구리가 장벽막(52)에 양호하게 접착한다. 상호 연결 구리막(55)의 접착력은 신뢰성 있는 집적회로의 제조에 매우 중요하다. 다음에, 구리 플러그 또는 도전체(56)(도 8)를 형성하기 위해 구리막(55)을 에치백(etch back)한다. 본 발명의 바람직한 실시예에서 금속막(55)(도 7)을 화학적 기계적 폴리싱(chemical mechanical polishing: CMP) 또는 공지의 RIE 건식 식각 공정에 의해 에치백한다. 화학적 기계적 폴리싱에서, 연마 폴리싱은 구리막(55)의 상부면을 제거하는데 사용되고 또한 장벽막(52)의 수평부분을 제 2 절연막(25)의 평탄한 표면 아래 또는 근처로 제거하는데 사용된다. 이러한 방식으로 장벽막(52)과 구리 플러그(56)의 상부면은 도 8에 도시된 바와 같이, 기판의 전체 표면에 걸쳐 균일하게 된다. 이러한 화학적 기계적 폴리싱 공정은 고집적 다층 집적회로의 제조에 매우 중요한, 아주 평탄한 표면을 만든다.
상기 폴리싱 공정을 완료한 상태에서, 구리 상호 연결 구조(100)(도 9)의 형성을 완료할 수 있도록 AlxNy 보호막(60)(도 9)(여기서, x와 y가 동일하거나 상이하여도 좋다)을 구리 플러그(56) 상과, 제 2 절연막(25)의 상부면 상에 형성한다. 단순화를 위해 본 출원에서는 AlxNy 보호막(60)을 AlN 보호막(60)으로 칭할 것이다. 그러므로, 구리 상호 연결 구조(100)는 폴리실리콘(또는 기타 도전체) 플러그(50), 장벽막(52), 구리 플러그(56) 및 AlN 보호막(60)을 포함한다.
구리 플러그(56)와 제 2 절연막(25)의 상부면을 포함하는 기판(12)에 연속적이고 완만한 AlN 막을 형성하기 위해 예를 들면, 플라즈마, 반응성 스퍼터링 또는 일반적인 화학 기상 증착을 사용함으로써 AlN 보호막(60)을 적층할 수 있다. AlN 보호막(60)은 약 100Å 내지 약 1000Å의 범위, 바람직하게는 약 300Å의 두께를 갖는다. AlN 보호막(60)은 또한 양호한 열적 팽창 계수(2.6x10e-6), 고융점(2400℃) 및 매우 높은 열 전도도(1.5W/cmK)를 갖는다. AlN 보호막(60)은 반도체 기판에서의 온도 상승을 방지하기 위해 다량의 열을 방출하는 추가적인 이점을 갖는다. 따라서, 구리를 보호하는 한 AlN막을 또한 구리 상호 연결 구조(100)를 위한 열 전도성 유전성 장벽막으로서 사용할 수가 있다.
더욱이, 상기 AlN 보호막을 구리에 대하여 보호적이고 열 전도성 막으로서 설명하였지만, AlN은 또한 기타 야금술로 사용된 열 소비(dissipation) 통로를 구성할 수 있고, 본 발명은 AlN막을 구리용 열 전도체로서 사용하는 것을 제한하지 않는다. 따라서, AlN막은 여러 가지 금속 구조(scheme)에서 전기적 도전체로서 사용되는 단지 소수만을 언급하는 알루미늄, 금, 은, 텅스텐 또는 갈륨 아세나이드와 같은, 콘택하는 기타 금속과 그 상응하는 합금의 열 소비 통로로서도 사용하여도 좋다.
상기 AlN 보호막의 형성과 후속의 구리 보호막을 용이하게 하기 위해 상기 AlN 보호막의 형성 전에 구리 플러그(56)의 표면을 세정하고/하거나 선처리(pretreat)하여도 좋다. 따라서, 인시튜(in-situ) 세정 기술을 사용하면, 상기 AlN 보호막의 형성 전에 상기 구리 플러그의 구리 표면 상에 형성된, 구리 옥사이드, 또는 알루미늄 옥사이드이나 건조한 슬러리와 같은 기타 잔존 파티클을 세정하기 위해 알곤 또는 네온과 같은 희귀 가스를 사용하여도 좋다. 또한, 습식 화학 식각과 같은 익스 시튜(ex-situ) 기술을 상기 AlN막의 형성 전에 상기 구리 표면의 선처리를 위해 사용하여도 좋다.
단지 하나의 구리 상호 연결 구조(100)를 도 9에 도시하였을지라도, 사실은 다수의 구리 상호 연결 구조가 기판(12)에 형성되어 있음을 당 분야에서 통상의 지식을 가진 자에게는 자명하다 할 것이다.
또한, 도 9가 단지 하나의 구리 플러그가 AlN 막에 의해 보호된 구리 상호 연결 구조를 도시하고 있을지라도, 상응하는 수량의 AlN 막을 갖는 다수의 구리 플러그가 소자의 구체적인 요구사항에 따라 형성되어질 수 있음을 이해하여야 한다. 예를 들면, 도 10에 도시된 바와 같이, 2개의 구리 플러그(56),(56a)는 구리 플러그(56a)가 구리 플러그(56)에 인접하고 구리 플러그(56)의 상부면 상에 있으면서 폴리실리콘(또는 다른 도전체) 상에 형성되어질 수 있다.
구리 플러그(56a)의 제조에 도 5 - 도 9를 참조하여 위에서 설명한, 구리 플러그(56)의 제조와 동일 공정 스텝을 사용한다. 그 자체로, 구리 플러그(56a)를 먼저, AlN 보호막(60)(도 9)의 상부면에 형성된 제 2 절연막(25a)(도 10)을 거쳐 형성한다. 그 다음에, 2개의 AlN막에 의해 보호된 2개의 구리 플러그를 포함하는 구리 상호 연결 구조(100)(도 10)의 형성을 완료하도록 AlxNy 보호막(60a)(도 10)(여기서, x와 y는 동일하거나 상이하여도 좋다)을 구리 플러그(56a)와 제 2 절연막(25a)의 상부면 상에 형성한다. 구리 상호 연결 구조(100)와 기판(12)의 소스 또는 드레인 영역(16) 사이의 전기적 콘택을 제공하기 위해 추가적인 스텝을 사용하여도 좋다. 도 10은 2개의 구리 플러그(56),(56a)가 서로 인접하여 있음을 나타내고 있을지라도, 실시중의 전기적 통로가 다층 상호 연결 시스템을 위해 달성될 수 있는 한, 상응하는 AlN 막에 의해 보호된 복수의 구리 플러그가 인접하여 있을 필요가 없다.
구리 플러그(56)와 장벽막(52a)으로부터 구리 플러그(56), 장벽막(52) 및 폴리실리콘 플러그(50)까지 그리고 기판(12)의 소스 또는 드레인 영역(16)까지의 실시중의 전기적 통로를 제조하기 위해 추가적인 상호 연결 막과 관련 유전성 막을 형성할 수 있다. 알루미늄 나이트라이드가 열 전도체일지라도 알루미늄 나이트라이드가 또한 유전성 물질이라는 것을 주목하여야 한다. 그러므로, 다층 상호 연결을 위한 실시중의 전기적 통로를 제조하기 위해서는 당분야에서 통상의 기술을 가진 자가 구리 플러그(56),(56a) 사이의 전기적 연결과 더욱 높은 레벨의 금속배선 통로를 더 허용하기 위해 미세한 콘택 개구를 AlN막에 형성하여야만 함을 인식할 것이다.
더욱이, 본 발명은 상호 연결 구조의 특정 형태에 제한하는 것이 아니라 도전성 와이어(wire), TAB, C4 또는 범프, 도전성 접착제 등과 같은 구리 상호 연결 구조로 사용될 수 있다. 따라서, 본 발명이 구리 도전체에 연결된 AlN 막(60)(도 90 - 도 10)을 참조하여 설명하는 한, AlN막(60)은 본드 패드 및/또는 외부의 열 통로, 예를 들면 외부의 히트 싱크(heat sink)에 더 연결되어도 좋다.
또한, 본 발명이 도전성 플러그를 거쳐 메모리 셀의 활성 영역에 연결된 구리 플러그를 설명하였을지라도, 본 발명은 구리 플러그에 제한하는 것이 아니라 다층 상호 연결 시스템을 위한 구리막 금속배선과 기타 도전성 플러그와 금속배선 막으로 사용할 수 있음을 이해하여야 할 것이다. 열전도체와 다층 상호 연결의 구리 자국에 대한 보호막으로 작용하는 상기 AlN 막을 AlN(60),(60a)의 적층을 위해 사용하고, 구리 상호 연결 구조(100)(도 1-도 10)의 형성을 참조하여 설명한 방법과 유사한 방법으로 적층할 수가 있다.
본 발명에 따라 구리 상호 연결 구조를 포함하는 메모리 회로(448), 예를 들면 디램을 포함하는 전형적인 프로세서 베이스(processor based) 시스템(400)이 도 11에 도시되어 있다. 컴퓨터 시스템과 같은 프로세서 시스템은 버스(452)를 거쳐 입력/출력(I/O) 장치(446)와 통신하는, 마이크로프로세서, 디지털 신호 프로세서 또는 기타 프로그래머블 디지털 로직 장치와 같은 중앙처리 장치(CPU)(444)를 일반적으로 포함한다. 메모리(448)는 버스(452)를 거쳐 상기 시스템과 통신한다.
컴퓨터 시스템의 경우, 상기 프로세서 시스템은 버스(452)를 거쳐 CPU(444)와 또한 통신하는, 플로피 디스크 드라이브(454) 및 콤팩트 디스크(CD) 롬 드라이브(456)와 같은 주변 장치를 포함하여도 좋다. 메모리(448)는 양호하게도, 도 1-도 10을 기준으로 앞서 설명한 바와 같이 형성된 구리 상호 연결 구조를 포함하는 집적회로로서 구성된다. 메모리(448)를 단일 집적회로 내에서 프로세서 예를 들면, CPU(444)와 결합하여도 좋다.
이상으로 설명한 전형적인 실시예가 하나의 구리 상호 연결 구조를 참조하였을지라도, 본 발명은 복수의 구리 상호 연결 구조를 사용하는 것을 고려하고 있고, 본 발명이 상기 도시된 실시예에 의해 제한되지 않음을 이해하여야 할 것이다. 따라서, 상기 설명과 도면은 단지 본 발명의 특징과 이점을 성취하는 전형적인 실시예를 나타내고 있는 것으로 간주하여야 할 것이다. 특정 공정 조건과 구조에 대한 수정 및 대체를 본 발명의 사상과 영역을 벗어남 없이 실시할 수가 있다. 따라서, 본 발명은 이전의 설명과 도면에 의해 제한되는 것으로 간주하여서는 아니 되고 첨부된 청구범위의 영역에 의해서만 제한된다.

Claims (57)

  1. 반도체 기판에서 전기적 연결을 제공하는 구리 상호 연결 구조에 있어서,
    도전성 플러그;
    상기 도전성 플러그에 전기적으로 결합된 구리 도전체;
    상기 구리 도전체의 상부 표면부 상에 형성되며, 상기 기판 상의 연속막으로서 형성된 알루미늄 나이트라이드막; 및
    상기 알루미늄 나이트라이드막에 결합된 하나 이상의 본드 패드 및 외부의 열 소비 통로를 포함하는 구리 상호 연결 구조.
  2. 제 1 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 본드 패드에 연결된 것을 특징으로 하는 구리 상호 연결 구조.
  3. 제 1 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 외부의 열 소비 통로에 연결된 것을 특징으로 하는 구리 상호 연결 구조.
  4. 제 1 항에 있어서, 상기 구리 도전체가 상기 도전성 플러그 상에 형성된 구리 플러그이고 상기 도전성 플러그와 상기 구리 도전체 사이에 형성된 장벽막을 더 포함하는 것을 특징으로 하는 구리 상호 연결 구조.
  5. 제 1 항에 있어서, 상기 도전성 플러그가 상기 기판의 활성 영역에 연결된 것을 특징으로 하는 구리 상호 연결 구조.
  6. 제 1 항에 있어서, 상기 구리 도전체가 원소의 구리로 형성된 것을 특징으로 하는 구리 상호 연결 구조.
  7. 제 1 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 구리 도전체를 위한 열 소비 통로로서 작용하는 것을 특징으로 하는 구리 상호 연결 구조.
  8. 제 1 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 구리 도전체의 상기 상부면을 보호하는 것을 특징으로 하는 구리 상호 연결 구조.
  9. 제 1 항에 있어서, 상기 알루미늄 나이트라이드막이 100Å~1000Å의 두께를 갖는 것을 특징으로 하는 구리 상호 연결 구조.
  10. 제 1 항에 있어서, 상기 알루미늄 나이트라이드막이 300Å의 두께를 갖는 것을 특징으로 하는 구리 상호 연결 구조.
  11. 제 1 항에 있어서, 상기 도전성 플러그가 폴리실리콘, 코발트, 티타늄 나이트라이드, 텅스텐, 텅스텐 나이트라이드, 구리, 알루미늄 및 플래티늄으로 구성되는 그룹으로부터 선택된 물질로 형성된 것을 특징으로 하는 구리 상호 연결 구조.
  12. 제 4 항에 있어서, 상기 장벽막이 고융점 금속 화합물을 포함하는 것을 특징으로 하는 구리 상호 연결 구조.
  13. 제 12 항에 있어서, 상기 고융점 금속 화합물이 고융점 금속 나이트라이드, 고융점 금속 카바이드 및 고융점 금속 보라이드로 구성된 그룹으로부터 선택된 것을 특징으로 하는 구리 상호 연결 구조.
  14. 제 1 항에 있어서, 상기 구리 도전체가 금속배선 막의 일부분인 것을 특징으로 하는 구리 상호 연결 구조.
  15. 반도체 기판 상에 전기적 연결을 제공하는 상호 연결 구조에 있어서,
    도전성 플러그;
    상기 도전성 플러그에 전기적으로 결합된 도전체; 및
    상기 도전체의 상부면 부분 상에 형성되고, 상기 도전체에 열 소비 통로를 제공하는 알루미늄 나이트라이드막을 포함하는 상호 연결 구조.
  16. 제 15 항에 있어서, 상기 도전성 플러그가 상기 기판의 활성 영역에 연결된 것을 특징으로 하는 상호 연결 구조.
  17. 제 15 항에 있어서, 상기 알루미늄 나이트라이드막이 100Å~1000Å의 두께를 갖는 것을 특징으로 하는 상호 연결 구조.
  18. 집적회로를 위한 구리 상호 연결 구조에 있어서,
    구리막; 및
    상기 구리막의 상부면 부분 상에 형성된 알루미늄 나이트라이드막을 포함하는 구리 상호 연결 구조.
  19. 제 18 항에 있어서, 상기 구리막에 콘택하는 도전체를 더 포함하는 것을 특징으로 하는 구리 상호 연결 구조.
  20. 제 19 항에 있어서, 상기 구리막과 상기 도전체 사이에 형성된 도전성 장벽막을 더 포함하는 것을 특징으로 하는 구리 상호 연결 구조.
  21. 제 19 항에 있어서, 상기 구리막이 상기 집적회로의 금속배선 막의 부분을 형성하는 것을 특징으로 하는 구리 상호 연결 구조.
  22. 기판에 전기적 연결을 제공하는 구리 상호 연결 구조를 형성하는 방법에 있어서,
    상기 기판의 제 1 절연막에 제 1 콘택 개구를 형성하는 단계;
    상기 제 1 콘택 개구에 도전성 플러그를 형성하는 단계;
    상기 도전성 플러그와 상기 제 1 절연막 상에 제 2 절연막을 형성하는 단계;
    상기 제 2 절연막에 제 2 콘택 개구를 형성하는 단계;
    상기 제 2 콘택 개구에 장벽막을 형성하는 단계; 및
    상기 구리 도전체의 상부면 부분 상에 알루미늄 나이트라이드막을 형성하되, 상기 알루미늄 나이트라이드막이 상기 구리 도전체의 상부면 부분을 보호하는 단계를 포함하는 구리 상호 연결 구조를 형성하는 방법.
  23. 제 22 항에 있어서, 상기 구리막과 상기 장벽막을 화학적 기계적 폴리싱하는 단계를 더 포함하는 것을 특징으로 하는 구리 상호 연결 구조를 형성하는 방법.
  24. 제 22 항에 있어서, 상기 알루미늄 나이트라이드막의 형성 전에 상기 구리 도전체의 상부면 부분을 세정하는 단계를 더 포함하는 것을 특징으로 하는 구리 상호 연결 구조를 형성하는 방법.
  25. 제 22 항에 있어서, 상기 알루미늄 나이트라이드막이 적층에 의해 300Å의 두께로 형성된 것을 특징으로 하는 구리 상호 연결 구조를 형성하는 방법.
  26. 제 22 항에 있어서, 상기 알루미늄 나이트라이드막을 형성하는 단계가 적층 공정을 포함하는 것을 특징으로 하는 구리 상호 연결 구조를 형성하는 방법.
  27. 제 22 항에 있어서, 상기 알루미늄 나이트라이드막을 형성하는 단계가 스퍼터링 공정을 포함하는 것을 특징으로 하는 구리 상호 연결 구조를 형성하는 방법.
  28. 제 22 항에 있어서, 상기 장벽막이 고융점 금속 화합물로 형성되고, 상기 고융점 금속 화합물이 고융점 금속 나이트라이드, 고융점 금속 카바이드 및 고융점 금속 보라이드로 구성된 그룹으로부터 선택된 것을 특징으로 하는 구리 상호 연결 구조를 형성하는 방법.
  29. 반도체 소자에 전기적 연결을 제공하는 상호 연결 구조를 형성하는 방법에 있어서,
    상기 소자의 절연막에 콘택 개구를 형성하는 단계;
    상기 콘택 개구 내에 도전체를 적층시키는 단계;
    상기 도전체의 상부면 부분 상에 알루미늄 나이트라이드막을 형성하되, 상기 알루미늄 나이트라이드막이 상기 도전체에 열 소비 통로를 제공하는 단계를 포함하는 상호 연결 구조를 형성하는 방법.
  30. 제 29 항에 있어서, 상기 도전체의 적층 단계 전에 상기 콘택 개구에 장벽막을 적층하는 단계를 더 포함하는 것을 특징으로 하는 상호 연결 구조를 형성하는 방법.
  31. 제 29 항에 있어서, 상기 알루미늄 나이트라이드막의 형성 전에 상기 도전체의 상부면 부분을 세정하는 단계를 더 포함하는 것을 특징으로 하는 상호 연결 구조를 형성하는 방법.
  32. 제 29 항에 있어서, 상기 알루미늄 나이트라이드막이 적층에 의해 300Å의 두께로 형성되는 것을 특징으로 하는 상호 연결 구조를 형성하는 방법.
  33. 제 29 항에 있어서, 상기 알루미늄 나이트라이드막을 형성하는 단계가 적층 공정을 포함하는 것을 특징으로 하는 상호 연결 구조를 형성하는 방법.
  34. 제 29 항에 있어서, 상기 알루미늄 나이트라이드막을 형성하는 단계가 스퍼터링 공정을 포함하는 것을 특징으로 하는 상호 연결 구조를 형성하는 방법.
  35. 제 29 항에 있어서, 상기 도전체가 알루미늄, 금, 은, 텅스텐 및 구리로 구성된 그룹으로부터 선택된 것을 특징으로 하는 상호 연결 구조를 형성하는 방법.
  36. 기판;
    상기 기판 상의 게이트와 상기 게이트에 인접하여 배치된 상기 기판 내의 소스/드레인 영역을 포함하는 트랜지스터;
    하나 이상의 상기 소스/드레인 영역에 전기적 연결을 제공하는 구리 상호 연결 구조를 포함하되,
    상기 구리 상호 연결 구조가 상기 기판의 상기 소스/드레인 영역에 연결된 도전성 플러그; 상기 도전성 플러그의 상부면에 제공된 구리 도전체; 및 상기 구리도전체의 상부면 부분 상에 형성된 알루미늄 나이트라이드막을 포함하는 것을 특징으로 하는 집적회로 구조.
  37. 제 36 항에 있어서, 상기 도전성 플러그와 상기 구리 도전체 사이에 형성된 장벽막을 더 포함하는 것을 특징으로 하는 집적회로 구조.
  38. 제 36 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 구리 도전체의 상기 상부면 부분을 보호하는 것을 특징으로 하는 집적회로 구조.
  39. 제 36 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 구리 도전체를 위한 열 소비 통로로서 작용하는 것을 특징으로 하는 집적회로 구조.
  40. 제 36 항에 있어서, 상기 알루미늄 나이트라이드막이 본드 패드에 연결된 것을 특징으로 하는 집적회로 구조.
  41. 제 36 항에 있어서, 상기 알루미늄 나이트라이드막이 외부의 열 소비 통로에 연결된 것을 특징으로 하는 집적회로 구조.
  42. 제 36 항에 있어서, 상기 알루미늄 나이트라이드막이 100Å~1000Å의 두께를 갖는 것을 특징으로 하는 집적회로 구조.
  43. 제 36 항에 있어서, 상기 알루미늄 나이트라이드막이 300Å의 두께를 갖는 것을 특징으로 하는 집적회로 구조.
  44. 제 36 항에 있어서, 상기 도전성 플러그가 폴리실리콘, 코발트, 티타늄 나이트라이드, 텅스텐, 텅스텐 나이트라이드, 구리, 알루미늄 및 플래티늄으로 구성되는 그룹으로부터 선택된 물질로 형성된 것을 특징으로 하는 집적회로 구조.
  45. 제 37 항에 있어서, 상기 장벽막이 고융점 금속 화합물을 포함하는 것을 특징으로 하는 집적회로 구조.
  46. 제 45 항에 있어서, 상기 고융점 금속 화합물이 고융점 금속 나이트라이드, 고융점 금속 카바이드 및 고융점 금속 보라이드로 구성된 그룹으로부터 선택된 것을 특징으로 하는 집적회로 구조.
  47. 구리 상호 연결 구조를 포함하는 집적회로에 있어서,
    상기 구리 상호 연결 구조가
    구리막; 및
    상기 구리막 상에 형성된 알루미늄 나이트라이드막을 포함하는 것을 특징으로 하는 집적회로.
  48. 제 47 항에 있어서, 상기 구리막에 접촉하는 도전성 플러그를 더 포함하는 것을 특징으로 하는 집적회로.
  49. 제 48 항에 있어서, 상기 구리막과 상기 도전성 플러그 사이에 형성된 도전성 장벽막을 더 포함하는 것을 특징으로 하는 집적회로.
  50. 제 47 항에 있어서, 상기 구리막이 상기 집적회로의 금속배선 막의 일부분을 형성하는 것을 특징으로 하는 집적회로.
  51. 제 47 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 구리막을 위한 열 소비 통로로서 작용하는 것을 특징으로 하는 집적회로.
  52. 제 47 항에 있어서, 상기 알루미늄 나이트라이드막이 상기 구리막을 보호하는 것을 특징으로 하는 집적회로.
  53. 상호 연결 구조를 포함하는 집적회로에 있어서,
    상기 상호 연결 구조가
    도전성 막; 및
    상기 도전성 막 상에 형성된 알루미늄 나이트라이드막을 포함하는 것을 특징으로 하는 집적회로.
  54. 제 53 항에 있어서, 상기 도전성 막에 콘택하는 도전성 플러그를 더 포함하는 것을 특징으로 하는 집적회로.
  55. 제 53 항에 있어서, 상기 알루미늄 나이트라이드막이 열 소비 통로로서 작용하는 것을 특징으로 하는 집적회로.
  56. 제 53 항에 있어서, 상기 도전성 막과 상기 도전성 플러그 사이에 형성된 도전성 장벽막을 더 포함하는 것을 특징으로 하는 집적회로.
  57. 제 53 항에 있어서, 상기 도전성 막이 상기 집적회로의 금속배선 막의 일부분을 형성하는 것을 특징으로 하는 집적회로.
KR1020027013548A 2000-04-11 2001-04-10 구리 보호층 및 열 전도체로서의 알루미늄 나이트라이드 KR100652120B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/547,926 2000-04-11
US09/547,926 US7061111B2 (en) 2000-04-11 2000-04-11 Interconnect structure for use in an integrated circuit
PCT/US2001/011636 WO2001078141A2 (en) 2000-04-11 2001-04-10 USE OF AlN AS COPPER PASSIVATION LAYER AND THERMAL CONDUCTOR

Publications (2)

Publication Number Publication Date
KR20030030989A true KR20030030989A (ko) 2003-04-18
KR100652120B1 KR100652120B1 (ko) 2006-11-30

Family

ID=24186702

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027013548A KR100652120B1 (ko) 2000-04-11 2001-04-10 구리 보호층 및 열 전도체로서의 알루미늄 나이트라이드

Country Status (8)

Country Link
US (3) US7061111B2 (ko)
JP (1) JP2003530694A (ko)
KR (1) KR100652120B1 (ko)
AU (1) AU2001251504A1 (ko)
DE (1) DE10196065B3 (ko)
GB (1) GB2378040B (ko)
TW (1) TW520560B (ko)
WO (1) WO2001078141A2 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
US7622322B2 (en) * 2001-03-23 2009-11-24 Cornell Research Foundation, Inc. Method of forming an AlN coated heterojunction field effect transistor
JP4316188B2 (ja) * 2002-05-29 2009-08-19 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7153776B2 (en) * 2002-11-27 2006-12-26 International Business Machines Corporation Method for reducing amine based contaminants
US7227257B2 (en) * 2002-12-09 2007-06-05 Intel Corporation Cooling micro-channels
US7825516B2 (en) * 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US7642649B2 (en) * 2003-12-01 2010-01-05 Texas Instruments Incorporated Support structure for low-k dielectrics
US6949457B1 (en) * 2004-01-21 2005-09-27 Kla-Tencor Technologies Corporation Barrier enhancement
KR100549014B1 (ko) * 2004-07-21 2006-02-02 삼성전자주식회사 스페이서 패턴을 갖는 반도체 장치들 및 그 형성방법들
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US8399989B2 (en) * 2005-07-29 2013-03-19 Megica Corporation Metal pad or metal bump over pad exposed by passivation layer
US8148822B2 (en) * 2005-07-29 2012-04-03 Megica Corporation Bonding pad on IC substrate and method for making the same
JP5120913B2 (ja) 2006-08-28 2013-01-16 国立大学法人東北大学 半導体装置および多層配線基板
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7538398B2 (en) * 2007-06-21 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for forming a semiconductor device source/drain contact
TWI380452B (en) * 2008-03-27 2012-12-21 Au Optronics Corp Thin film transistor, active array substrate and method for manufacturing the same
US8274101B2 (en) * 2009-10-20 2012-09-25 Omnivision Technologies, Inc. CMOS image sensor with heat management structures
DE102011002769B4 (de) * 2011-01-17 2013-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
JP5909852B2 (ja) 2011-02-23 2016-04-27 ソニー株式会社 半導体装置の製造方法
US8461043B2 (en) * 2011-04-11 2013-06-11 Micron Technology, Inc. Barrier layer for integrated circuit contacts
US8610280B2 (en) * 2011-09-16 2013-12-17 Micron Technology, Inc. Platinum-containing constructions, and methods of forming platinum-containing constructions
US8846513B2 (en) * 2011-09-23 2014-09-30 Globalfoundries Inc. Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
KR101964263B1 (ko) * 2012-02-22 2019-04-01 삼성전자주식회사 불휘발성 메모리 장치 및 그 제조 방법
CN104347476B (zh) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US9299605B2 (en) * 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US9362198B2 (en) 2014-04-10 2016-06-07 Freescale Semiconductor, Inc. Semiconductor devices with a thermally conductive layer and methods of their fabrication
KR102462134B1 (ko) 2015-05-19 2022-11-02 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US11101218B2 (en) * 2018-08-24 2021-08-24 Micron Technology, Inc. Integrated assemblies having metal-containing regions coupled with semiconductor regions
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
US11404345B2 (en) * 2020-06-10 2022-08-02 Qualcomm Incorporated Advanced integrated passive device (IPD) with thin-film heat spreader (TF-HS) layer for high power handling filters in transmit (TX) path

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0810710B2 (ja) 1984-02-24 1996-01-31 株式会社東芝 良熱伝導性基板の製造方法
US4987750A (en) 1986-07-08 1991-01-29 Gershon Meckler Air conditioning apparatus
DE3784605T2 (de) 1986-09-17 1993-06-17 Fujitsu Ltd Verfahren zum herstellen einer halbleitervorrichtung und halbleitervorrichtung.
US5310602A (en) 1991-11-12 1994-05-10 Cornell Research Foundation Self-aligned process for capping copper lines
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
US5270263A (en) 1991-12-20 1993-12-14 Micron Technology, Inc. Process for depositing aluminum nitride (AlN) using nitrogen plasma sputtering
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP3091026B2 (ja) * 1992-09-11 2000-09-25 三菱電機株式会社 集積回路の配線
US5783483A (en) 1993-02-24 1998-07-21 Intel Corporation Method of fabricating a barrier against metal diffusion
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
US5393703A (en) * 1993-11-12 1995-02-28 Motorola, Inc. Process for forming a conductive layer for semiconductor devices
US5476817A (en) * 1994-05-31 1995-12-19 Texas Instruments Incorporated Method of making reliable metal leads in high speed LSI semiconductors using both dummy leads and thermoconductive layers
US5510293A (en) * 1994-05-31 1996-04-23 Texas Instruments Incorporated Method of making reliable metal leads in high speed LSI semiconductors using thermoconductive layers
US5625232A (en) 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
US5567523A (en) * 1994-10-19 1996-10-22 Kobe Steel Research Laboratories, Usa, Applied Electronics Center Magnetic recording medium comprising a carbon substrate, a silicon or aluminum nitride sub layer, and a barium hexaferrite magnetic layer
US5929517A (en) * 1994-12-29 1999-07-27 Tessera, Inc. Compliant integrated circuit package and method of fabricating the same
US5670387A (en) * 1995-01-03 1997-09-23 Motorola, Inc. Process for forming semiconductor-on-insulator device
JP3847807B2 (ja) * 1995-01-30 2006-11-22 財団法人国際科学振興財団 半導体装置
US5665633A (en) 1995-04-06 1997-09-09 Motorola, Inc. Process for forming a semiconductor device having field isolation
US5650361A (en) * 1995-11-21 1997-07-22 The Aerospace Corporation Low temperature photolytic deposition of aluminum nitride thin films
JPH09260543A (ja) 1996-03-22 1997-10-03 Toshiba Corp 窒化アルミニウム配線基板およびその製造方法
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US5861328A (en) * 1996-10-07 1999-01-19 Motorola, Inc. Method of fabricating GMR devices
US6344868B1 (en) * 1997-07-23 2002-02-05 Tdk Corporation Thermal head and method of manufacturing the same
KR100256110B1 (ko) * 1997-08-16 2000-05-01 윤종용 반도체 장치의 상호연결 및 그의 형성 방법
US5847463A (en) 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
JPH11204521A (ja) * 1998-01-09 1999-07-30 Toshiba Corp 半導体装置およびその製造方法
JPH11251352A (ja) * 1998-02-27 1999-09-17 Nec Corp 多層配線構造の半導体集積回路
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6174810B1 (en) * 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JP2000003960A (ja) * 1998-06-12 2000-01-07 Hitachi Ltd 半導体装置及びその製造方法
JP3690565B2 (ja) * 1998-06-26 2005-08-31 富士通株式会社 積層構造、配線構造、その製造方法、及び半導体装置
US6139696A (en) * 1999-10-25 2000-10-31 Motorola, Inc. Method and apparatus for forming a layer on a substrate
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6143641A (en) * 2000-01-26 2000-11-07 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6858937B2 (en) * 2000-03-02 2005-02-22 Micron Technology, Inc. Backend metallization method and device obtained therefrom
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit

Also Published As

Publication number Publication date
WO2001078141A3 (en) 2002-06-06
KR100652120B1 (ko) 2006-11-30
TW520560B (en) 2003-02-11
US7679193B2 (en) 2010-03-16
US20070164442A1 (en) 2007-07-19
US20020175362A1 (en) 2002-11-28
GB2378040B (en) 2004-10-13
JP2003530694A (ja) 2003-10-14
US20070042596A1 (en) 2007-02-22
WO2001078141A2 (en) 2001-10-18
US7205223B2 (en) 2007-04-17
DE10196065T1 (de) 2003-04-03
US7061111B2 (en) 2006-06-13
GB0223484D0 (en) 2002-11-13
DE10196065B3 (de) 2015-04-16
GB2378040A (en) 2003-01-29
AU2001251504A1 (en) 2001-10-23

Similar Documents

Publication Publication Date Title
KR100652120B1 (ko) 구리 보호층 및 열 전도체로서의 알루미늄 나이트라이드
US20230013937A1 (en) Semiconductor device with reduced via resistance
KR100647995B1 (ko) 반도체 디바이스 형성 방법
US6573606B2 (en) Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
JP3927771B2 (ja) マイクロ電子構造体を形成させる方法
JP4740538B2 (ja) 半導体デバイスの製造方法
US7189615B2 (en) Single mask MIM capacitor and resistor with in trench copper drift barrier
CN1582491A (zh) 形成可靠铜互连器的方法
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
TW406313B (en) Semiconductor device and manufacturing method of the same
US7569476B2 (en) Semiconductor integrated circuit device and a method of manufacturing the same
US6870263B1 (en) Device interconnection
US6511908B2 (en) Method of manufacturing a dual damascene structure using boron nitride as trench etching stop film
KR100421826B1 (ko) 반도체 장치 및 그 제조방법
US6569756B1 (en) Method for manufacturing a semiconductor device
US6747353B2 (en) Barrier layer for copper metallization in integrated circuit fabrication
US8404577B2 (en) Semiconductor device having a grain orientation layer
US6245672B1 (en) Method of forming diffusion barriers for copper metallization in integrated cirucits
JP3281260B2 (ja) 半導体装置の製造方法
US6518648B1 (en) Superconductor barrier layer for integrated circuit interconnects
KR20040077421A (ko) 반도체 장치의 금속배선 형성 방법
US6979642B1 (en) Method of self-annealing conductive lines that separates grain size effects from alloy mobility
JPH08139190A (ja) 半導体装置の製造方法
US20230077760A1 (en) Top via interconnects without barrier metal between via and above line

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121019

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee