JP2003249493A - 誘導結合プラズマ装置 - Google Patents

誘導結合プラズマ装置

Info

Publication number
JP2003249493A
JP2003249493A JP2002361630A JP2002361630A JP2003249493A JP 2003249493 A JP2003249493 A JP 2003249493A JP 2002361630 A JP2002361630 A JP 2002361630A JP 2002361630 A JP2002361630 A JP 2002361630A JP 2003249493 A JP2003249493 A JP 2003249493A
Authority
JP
Japan
Prior art keywords
inductively coupled
coupled plasma
reactor
inductor
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002361630A
Other languages
English (en)
Other versions
JP4025636B2 (ja
Inventor
Yuri Nikolaevich Tolmachev
ニコライビッチ トルマチェフ ユーリ
Dong-Joon Ma
東 俊 馬
Chang-Wook Moon
昌 郁 文
Hea-Young Yoon
惠 榮 尹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2003249493A publication Critical patent/JP2003249493A/ja
Application granted granted Critical
Publication of JP4025636B2 publication Critical patent/JP4025636B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

(57)【要約】 【課題】 誘導結合プラズマ装置を提供する。 【解決手段】 ウェーハサセプタが設けられる下部プロ
セスチャンバ2と、下部プロセスチャンバ2の上に設け
られる上部プラズマソースチャンバ1と、上部プラズマ
ソースチャンバ1内に設けられ、ガスが流動するチャン
ネル33を有してプラズマ反応物を下部プロセスチャン
バ2に供給する反応器3と、上部プラズマソースチャン
バ1と反応器3との間に設けられ、反応器3を囲繞する
インダクタ4と、インダクタ4が設置される反応器3の
周囲空間と下部プロセスチャンバ2との間に設けられ
る開口部とこれを開閉するシャッタ9とを具備して構成
される。これにより、プラズマソースから放出されるラ
ジカルをより均一に放射させることが可能となる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、化学気相蒸着(C
VD)に適用される誘導結合プラズマ装置に関する。
【0002】
【従来の技術】半導体の加工に用いられるプラズマプロ
セス(工程)は、比較的低い温度かつ高い効率で行うこ
とが可能という利点を有している。たとえば、シリコン
酸化物の蒸着では、O2のプラズマソースとSiH4とを
用いて、200℃以下の温度で、100〜500nm/
分という蒸着速度を具現することが可能である。
【0003】しかし、その際、比較的大きな面積(たと
えば、直径300mmのウェーハ)に、膜厚の均一性が
高いコーティングを具現するためには、前記プラズマソ
ースで極めて高い効率でプラズマを生成する必要があ
り、さらに、単位時間当たりに単位面積を横切って流れ
る量(フラックス)が均一なプラズマを形成することが
必要である。そこで、このような要求を満足させるため
に、当該分野においては、各種の高密度プラズマソース
(High Density Plasma sour
ce;以下、「HDP」という)が用いられている。
【0004】前記HDPの開発史を紐解いてみると、H
DPの開発はECR(Electron Cyclot
ron Resonance)プラズマの応用に始ま
り、現在では、その応用技術の大部分が高周波で駆動さ
れる誘導結合プラズマ(Radio Frequenc
y driven Inductive Couple
d Plasma;以下、「ICP」という)に帰着し
ている。
【0005】前記ICPのソースは、設計が比較的簡単
であり、しかも、比較的広い出力の範囲と圧力ウィンド
ウとを有して、その動作に補助的なマグネットを必要と
しない。そして、蒸着やエッチングを高い効率で行える
ように改善されたICP装置として、フラットスパイラ
ルコイルから構成されたインダクタが提案されている
(たとえば、特許文献1参照)。
【0006】しかし、前記ICP装置は、このICP装
置が提案される以前から指摘されてきている、ICPの
ソースに起因する欠点を有している。すなわち、コイル
によってプロセスチャンバへ供給されるRF(Radi
o Frequency)のパワーは、一般に、石英か
ら構成された誘電体のウィンドウを介して供給されるこ
とが必要である。この誘電体のウィンドウは、大気圧に
対する耐圧性を満たすために数cm程度の厚さが要求さ
れる。そして、装置の規模が大きくなるにつれて、要求
される前記誘電体のウィンドウの厚さは次第に厚くな
る。さらに、前記誘電体のウィンドウの真空側の面は、
コイルの高い電圧、及び極めて大きな容量結合によって
誘起されるスパッタリングの作用を受ける。
【0007】また、ヘリカルインダクタコイルの共振条
件の下で動作するICPのソースの一種であるヘリカル
共振器が提案されている(特許文献2参照)。このヘリ
カル共振器における共振は、RFの電磁場に関連して放
電を生じさせるインダクタワイヤの長さLを、波長λに
対して適宜調節することにより実現される。この共振条
件は、L=(λ/4)×mの式で表される。前記式中、
mは整数である。このmの値は、インダクタにおける定
在波(スタンディングウエーブ)のモードと一義的に対
応する。
【0008】また、ICP装置に含まれるコイルは、終
端部が電気的に接地または浮遊され、電流と電圧の波形
に対する境界条件を決定づけるものである。このコイル
でRF(Radio Frequency)を発生させ
るための電力(パワー)が印加される部分は、通常、コ
イルの両端部の間の略中間部である。そして、前記境界
条件、及び前記インダクタワイヤの長さが変化すること
により、このプラズマソースは、寄生容量結合の効果が
安定化される(非特許文献1参照)。
【0009】前記プラズマソースは、円筒状の幾何学構
造を有するとともに誘電体から形成されるプラズマを封
入するための容器を備えることが必要である。このプラ
ズマを封入する容器は、一般に、円筒状の石英管で形成
されて真空チャンバとしての機能を有し、その内部でプ
ラズマによる反応を誘起させる反応器として構成され
る。そして、このようなプラズマソースがHDPに適用
されている。
【0010】さらに、前記プラズマソースに含まれるイ
ンダクタは接地された表面に対するプラズマ電位を最小
化するようにバランスがとられ、その結果、前記反応器
を構成する材料におけるスパッタリングが最小化される
ようになっている。このようなインダクタは、133.
32Pa(1Torr)またはこれより高い圧力の下で
行われる乾式エッチングに応用されており、極めて高い
エッチング効率、及び放射状に広がった広範な領域で極
めて高いエッチング均一性を発揮する。
【0011】それにも拘わらず、前記インダクタは、圧
力が1.33Pa(10mTorr)以下になると、円
筒状の幾何学構造を有するHDPは、軸心方向に沿った
領域で中性の化学種の枯渇を生じさせるイオンポンピン
グ効果により、ガス流れの放射状の方向における均一性
を失ってしまう。このイオンポンピング効果は、特に、
低圧かつ高温、たとえば、荷電粒子の濃度が高い条件の
下で、さらに促進される。そして、重要な点は、均一性
の高い中性の化学種を生起させるには、大面積のプラズ
マソースが必要とされることである(非特許文献2参
照)。
【0012】従来のICPの応用技術では、通常、前記
インダクタが真空チャンバの外部に配置されているが、
このようなインダクタの外部配置の形態は、以下のよう
な問題点を招来する。
【0013】すなわち、第1の問題点は、前記ヘリカル
インダクタ、または、前記フラットスパイラルインダク
タの場合、誘電体のポートが広い面積を有するために、
誘電体の真空容器が比較的大きくなるとともに複雑化す
ることである。
【0014】第2の問題点は、外部に設けられるインダ
クタは、超高電圧(Ultra High Volta
ge:UHV)の設計と互換性がないことである。
【0015】第3の問題点は、ウェーハサセプタの表面
積が電気的に接地された領域の面積に比べて小さく、こ
のため、RFを発生させるために高い電力(パワー)を
印加しなくても基板上で負(ネガティブ)電圧を制御で
きるように構成されることが望ましいが、現状では、前
記ウェーハサセプタに対するチャンバの導電性部分の比
率が非常に小さい。
【0016】第4の問題点は、装置の規模をあまり大き
くできないことである。ラジカルアシストシーケンシャ
ル化学気相蒸着(Radical−Assisted
Sequential Chemical Vapor
Deposition;RAS CVD)法の工程に
適用されたHDPソースが公知となっている(たとえ
ば、特許文献3参照)。前記RAS CVD法の原理
は、時分割的に2つの前駆体が基板に供給されるという
点で、たとえば、原子層蒸着(Atomic Laye
r Deposition,ALD)法と類似してい
る。
【0017】しかし、前記RAS CVD法において
は、前駆体のいずれか1種がラジカル種であり、化学的
に安定した成分ではない。この点が前記ALD法とは異
なっている。このようなRAS CVD法は、原子層
(モノレイヤー)レベルでの精密な蒸着によって薄膜形
成の過程を高度に制御できるので、必要にして充分な膜
厚の均一性を具現することが可能な技術である。しか
し、このようなRAS CVD法において生成される前
駆体のうちの1種は、基板に対する吸着確率が低い成
分、換言すれば、化学的に安定した成分であると、この
工程はあまり効率的なものとはならない。
【0018】
【特許文献1】米国特許第6,184,158号明細書
(全頁)
【特許文献2】米国特許第5,965,034号明細書
(全頁)
【特許文献3】米国特許第6,200,893号明細書
(全頁)
【非特許文献1】G.K.Vinogradov.著
「タイトル:Transmission line b
alanced inductive plasma
sources.」(雑誌名:Plasma Sour
ces Science Technology)、第
9巻(2000年)、p400〜412
【非特許文献2】G.R.Tynan.著「タイトル:
Neutral depletion and tra
nsport mechanisms inlarge
−area high density plasma
sources」(雑誌名:Journal Appl
ied Physics)、第86巻(1999年発
行)、p5336
【0019】
【発明が解決しようとする課題】本発明は、前記問題点
を改善するためになされたものであって、その目的は、
プラズマソースからラジカルを放射状に分散させて放出
させるとともに、このように放出されるラジカルの均一
性をより高めた誘導結合型の高密度プラズマ装置を提供
することにある。
【0020】また、本発明の他の目的は、インダクタに
おけるスパッタリングを抑止して、ガスの反応生成物が
インダクタに向けて逆流することを防止した誘導結合型
の高密度プラズマ装置を提供することにある。
【0021】
【課題を解決するための手段】前記目的を達成するため
の本発明に係る誘導結合プラズマ装置は、基板が備えら
れるウェーハサセプタが設けられる下部プロセスチャン
バと、前記下部プロセスチャンバの上部に設けられる上
部プラズマソースチャンバと、前記上部プラズマソース
チャンバ内に設けられ、ガスが流動するチャンネルを有
してプラズマ反応物を前記下部プロセスチャンバに供給
する反応器と、前記上部プラズマソースチャンバと反応
器との間に設けられ、前記反応器を囲繞するインダクタ
と、前記インダクタが設置される反応器の周囲の空間と
前記下部プロセスチャンバとの間に設けられる開口部、
及びこの開口部を開閉するシャッタとを具備して構成さ
れる(請求項1)。
【0022】前記誘導結合プラズマ装置において、反応
器は、内部シリンダと、この内部シリンダを囲繞する外
部シリンダと、内部シリンダと外部シリンダとの間に設
けられる環状チャンネルとを具備し、さらに、前記環状
チャンネルの上部は前記上部プラズマソースチャンバの
外部から延びるガスマニホールドと連結されて構成され
ることが望ましい(請求項2)。
【0023】本発明に係る一実施態様は、前記内部シリ
ンダは底部に向かって次第に狭く形成され、それにとも
なって前記内部シリンダと外部シリンダとの間に設けら
れる環状チャンネルの底部が次第に円形に変化するよう
に構成される(請求項3)。
【0024】また、本発明は、前記一実施態様の誘導結
合プラズマ装置において、環状チャンネルの上部に、多
数のオリフィスを備えるガス分散板を設けることができ
る(請求項4)。
【0025】より具体的には、前記環状チャンネルの上
部に、ガス分散板を、所定距離をおいて複数設けること
ができる(請求項5)。
【0026】また、前記の本発明に係る誘導結合プラズ
マ装置において、ウェーハサセプタは、下部プロセスチ
ャンバに対して電気的に浮遊するように構成される(請
求項6)。
【0027】本発明では、特に、前記ウェーハサセプタ
が、下部プロセスチャンバに対してその下部が設置され
るセラミックス振動遮断器により支持されるとともに下
部プロセスチャンバに対して電気的に浮遊するように構
成されることが望ましい(請求項7)。
【0028】前記の本発明に係る誘導結合プラズマ装置
において、上部プラズマソースチャンバで、インダクタ
が設置される反応器の周囲の空間にパージ用不活性ガス
が供給されることが望ましい(請求項8)。
【0029】また、本発明は、前記インダクタの長さが
高周波電磁場フィールドの1/4波長と同一であること
が望ましい(請求項9)。
【0030】そして、本発明は、高周波のパワーが前記
インダクタの両端部の間の一捲回で供給され、前記イン
ダクタの両端は接地または電気的に浮遊するように構成
されることがさらに望ましい(請求項10)。
【0031】また、本発明は、前記プラズマソースによ
り高周波または低周波電磁場が周期的に、または与えら
れたシーケンスに従って、断続されることが望ましい
(請求項11)。
【0032】そして、前記の本発明に係る誘導結合プラ
ズマ装置において、基板に直流電圧のバイポーラパルス
が印加されることが望ましい(請求項12)。
【0033】また、本発明は、前記電磁場のパルスと前
記ガス供給パルスとが同期され、ガスがシーケンシャル
で供給されることによって、改良されたラジカルアシス
トシーケンシャル(RAS)法による蒸着工程を実行す
ることが望ましい(請求項13)。
【0034】
【発明の実施の形態】以下、添付した図面を参照しなが
ら、本発明の望ましい実施形態について詳細に説明す
る。図1は、本発明に係る誘導結合プラズマ装置の望ま
しい1実施形態の構造を模式的に示す図である。図1に
示すように、本発明に係る誘導結合プラズマ装置は上部
プラズマソースチャンバ1と下部プロセスチャンバ2と
を含んで構成される。
【0035】プラズマソースは上部プラズマソースチャ
ンバ1の内部に設けられている。このプラズマソースは
ヘリカルインダクタコイル4とプラズマ反応器3とを含
んで構成される。プラズマ反応が誘起される反応器3
は、ナット及びベローズ12等で、O2、N2、Ar等の
ガスを供給するガスマニホールド20と連結されてい
る。反応器3でプラズマを生起するためのRFを発生さ
せる電力を投入するRF電源部(RFパワー)は、RF
ケーブル11とRFフィードスルー(図示せず)を介し
て、誘電性シリンダ32の外側面に捲回されているヘリ
カルインダクタコイル4の略中間部に連結され、さら
に、ヘリカルインダクタコイル4の両端部は接地されて
いる。なお、参照番号14は、反応器3の内部で誘起さ
れたプラズマを示す。
【0036】ここで、ヘリカルインダクタコイル4の全
長は、RFの発生によって生起される電磁場の総波長と
同一である。このような条件の下で、電圧及び電流の定
在波(スタンディングウエーブ)がヘリカルインダクタ
コイル4で形成される。比較的大規模なシステムでは、
ヘリカルインダクタコイル4に沿った電流及び電圧の偏
向を防止できる長さ(短さ)でインダクタワイヤを作製
することが困難である。このため、ヘリカルインダクタ
コイル4は、適切な共振を生起させるためのチューニン
グが行えるような長さを有するように形成されることが
望ましい。
【0037】また、プラズマソースは、O2、N2、C2
6、Ar、Heより構成される群の中から選択される
1種、またはこれらを適宜混合して得られたガスが反応
器3を通過する際に、プラズマによってラジカルのフラ
ックスを適切に発生させるためのものである。
【0038】反応器3でプラズマ反応が誘起されるとこ
の反応器3の下端部が開放されて、この反応器3からプ
ラズマ反応の生成物が下方に流動可能となって下部プロ
セスチャンバ2に導入される。他の反応物は、当該分野
で従来公知のリモート(遠隔)プラズマの原理に基づい
て下部プロセスチャンバ2に直接供給される。すなわ
ち、この反応物は、プラズマの雰囲気に曝されることな
く下部プロセスチャンバ2に直接供給されるので、この
反応物の蒸着は抑止される。
【0039】前記反応物は、SiH4ガス等、不活性ガ
スと混合される反応ガスであり、多くのオリフィスを備
えたガスインジェクションリング5に供給される。この
ガスインジェクションリング5は、放射状に均一にガス
を放出させるとともに、ガスマニホールドの内部への反
応生成物の逆流を防止するものである。
【0040】そして、基板は、下部プロセスチャンバ2
の内部に配置されたホットプレート6、ベローズ7、及
びセラミックス真空遮断器8の組立体を含んで構成され
たウェーハサセプタ(ホットプレート6)の上に載置さ
れる。ホットプレート6は、所望とする優れたコーティ
ングを実現するために、ガスの流れを放射状方向で均一
にするために、ガスインジェクションリング5と基板と
の間隔を適宜調節できるように構成される。たとえば、
本発明にあっては、ホットプレート6がガスインジェク
ションリング5に対して、ベローズ7を介して移動可能
に構成することができる。
【0041】また、セラミックス真空遮断器8は、前記
ウェーハサセプタを下部プロセスチャンバ2から所定距
離で隔離させて基板が浮遊した電位を有するようにす
る。反応生成物は、排出ポート10を通じて排気され
る。プラズマ反応が生起される反応器3は、図2(B)
に示すように、環状の内部チャンネル33を有して構成
されている。さらに、この反応器3は、相異なる直径を
有する2つの誘電性シリンダ31、32から構成されて
いる。
【0042】この2つの誘電性シリンダ31、32のう
ち、内側シリンダ31は、下部が閉鎖され、上部が開放
された構造を備えている。一方、外側シリンダ32は下
部が開放され、上部が閉鎖された構造を備えている。本
発明では、このように2つの誘電性シリンダ31、32
で挟まれた空間で構成される環状cルで、プラズマ14
が生成され、かつこのプラズマ14が輸送される。
【0043】チャンネル33の下部の断面構造は、底部
が環状に形成され、この底部から上部に向かって次第に
環状から円形に移行するように構成されている。内部シ
リンダ31の下部の周囲18は、プラズマソースの軸心
方向に沿った部分で荷電された中性の化学種の流動性を
変化させるバッフルの役割を果たす。このような構造を
有する本発明に係る誘導結合プラズマ装置で、反応器3
は、以下のような効果を奏する。
【0044】(1)実際のプラズマの体積を最小化して
特定パワーで蒸着を増加させる。 (2)反応生成物の逆流を最小化してプラズマとの混合
を抑制する。 (3)中性の化学種の速度の均一性を高める。 (4)イオンポンピングにより引き起こされた中性の化
学種の枯渇を最小限抑えてプラズマソースの出口で中性
の化学種の均一性を高めて放射状に放出させる。
【0045】図1、図2(A)及び図2(B)に示すよ
うに、反応器3の周囲とプラズマソースチャンバ15と
の間の空間にヘリカルインダクタコイル4が配置され
る。この空間では、低い圧力で放電を生じさせることが
可能であり、その結果、ヘリカルインダクタコイル4で
スパッタリングが生じる。しかし、このようなプロセス
の圧力は、通常、0.133〜1.33Pa(1〜10
mTorr)程度の比較的低い圧力が要求される。
【0046】このようにヘリカルインダクタコイル4で
生じるスパッタリングの問題は、図1及び図2(A)に
示すようなシャッタ9の導入によって解決される。シャ
ッタ10は、上部プラズマソースチャンバ1と下部プロ
セスチャンバ2との間に設けられ、前記両者の間にある
開口部19がシャッタ9により開放されたり(図1参
照)、閉鎖されたりする(図2(A)参照)。
【0047】シャッタ9が開放されると、上部プラズマ
ソースチャンバ1及び反応器3のプラズマソースの全体
が排気される。また、シャッタ9が閉鎖されて反応器3
の外壁と上部プラズマソースチャンバ1の内壁との間に
不活性ガスが導入され、反応器3の内部の圧力と下部プ
ロセスチャンバ2の圧力とが同一になると、ヘリカルイ
ンダクタコイル4におけるスパッタリングを抑止するた
めに充分に高い圧力が維持される。さらに、シャッタ9
はヘリカルインダクタコイル4の近傍への反応生成物の
逆流を防止する役割を果たす。
【0048】上部プラズマソースチャンバ1の内部に設
けられたプラズマソースにおいて、ガスの流れの放射状
方向での均一性は2つのガス分散板13の形態によって
支配されるので、このガス分散板の形態を適宜設定する
ことにより前記プラズマソースにおけるガスの流れの放
射状方向での均一性が向上される。
【0049】このため、ガス分散板13は、たとえば図
3に示すように、反応器3の環状チャンネル33の上部
に適宜間隔をおいて設置することができる。このガス分
散板13は、図3に示すように、対称な多数の分散オリ
フィスを有する環状のガス分散板として構成されてい
る。そして、このガス分散板13は、シャッタ9ととも
に、前記プラズマソース及びガスマニホールドへのガス
の逆流を防止する圧力分散を誘起する役割を果たす。
【0050】ここで、図2に示すように、反応器3にお
いて、内部シリンダ31の下部の圧力、すなわちプロセ
ス圧力をPとし、内部シリンダ31、外部シリンダ32
及びガス分散板13で囲まれた空間の圧力をP1、P2
とし、さらにインダクタコイル4が配置されている領域
の圧力をP3とすると、これらの圧力P、P1、P2、
P3の大きさの関係はP<P2<P1<P3となってい
る。
【0051】本発明にあっては、図1の本発明に係る1
実施形態に例示するように、たとえば、ホットプレート
6、ベローズ7、及びセラミックス真空遮断器8の組立
体を含んで構成されるようなウェーハサセプタが、下部
プロセスチャンバ2に対して電気的に浮遊した状態にあ
るかぎり、このウェーハサセプタに補助的な効果を与え
る電源部(パワーサプライ)を連結することが可能であ
る。
【0052】すなわち、この補助的な効果を与える電源
部は、前記ウェーハの近傍に導入されたガスの励起状態
を適切に制御して、このガスを効率的にイオン化する効
果を具現するために連結されるものである。したがっ
て、この電源部は、低周波の電圧、またはバイポーラD
C(直流)のパルス電圧を供給するように構成されるこ
とが必要である。
【0053】このような補助的な電源部は、従来の各種
の蒸着プロセス(工程)に多用されているが、遠隔(リ
モート)プラズマCVDにおいては成膜速度が極めて遅
くなる。本発明では、高密度プラズマソース側に比較的
高い電力を供給する電源部と、ウェーハサセプタ側に比
較的低い電力を供給する電源部の2つの電源部が、前記
下部プロセスチャンバ2に供給される反応物の供給パル
ス動作と同期してパルス動作を行い、ラジカルアシスト
シーケンシャル(radical−assisted
sequential;RAS)法による蒸着を実現す
ることができるように構成されている。
【0054】このため、前記比較的高い電力におけるパ
ルスは、ある反応物(たとえば、O 2ガス)を供給する
パルス動作と同期され、また、前記比較的低い電力にお
けるパルスは、他の反応物(たとえば、SiH4ガス)
を供給するパルス動作と同期される。
【0055】本発明に係る改良されたRAS法による蒸
着のプロセス(工程)を図4に示す。図4に示す本発明
に係る改良されたRAS法による蒸着のプロセスは、前
記ウェーハサセプタに供給される電圧のパルス動作によ
って分子の前駆体を励起する点で、従来技術のRAS法
による蒸着のプロセスとは異なっている。
【0056】以上、本発明で望ましい1例の実施形態に
ついて具体的に説明したが、本発明の属する技術分野に
おける当業者であれば、本発明に係る技術的思想に基づ
き、このような本発明に係る実施形態に各種の変形や修
正を容易に行えることは明らかである。よって、本願発
明の技術的範囲は、本願明細書の特許請求の範囲によっ
て定められるべきである。本願明細書に記載された技術
内容は単なる例示に過ぎず、本願明細書の特許請求の範
囲に基づく限りにおいて、本願明細書で詳細に説明され
た本願発明の技術的範囲を限定するものではない。
【0057】
【発明の効果】以上説明したとおりに構成される本発明
によれば、以下の効果を奏する。すなわち、本発明によ
れば、実際のプラズマの体積が最小化され、特定のパワ
ーでの蒸着が促進されるとともに、反応生成物の逆流が
抑えられてこの反応生成物とプラズマとの混合が抑止さ
れ、中性の化学種の蒸着速度の均一性が向上された誘導
結合プラズマ装置を提供することができる。
【0058】さらに、本発明によれば、イオンポンピン
グによって生じる中性の化学種の枯渇が防止されて、プ
ラズマソースの出側で中性の化学種の放射状方向での流
れの均一性を改善した誘導結合プラズマ装置を提供する
ことができる。
【図面の簡単な説明】
【図1】本発明に係る誘導結合プラズマ装置の一実施形
態の構成を模式的に示す垂直断面図である。
【図2】図1に示す本発明に係る誘導結合プラズマ装置
に含まれる上部プラズマソースチャンバの構成を模式的
に示す図であって、図2(A)は、図1に示す上部プラ
ズマソースチャンバの部分拡大図であり、図2(B)
は、図1に示す上部プラズマソースチャンバの横断面図
である。
【図3】図1に示す本発明に係る誘導結合プラズマ装置
において、上部プラズマソースチャンバの上部に設置さ
れるガス分散板の構成を模式的に示す平面図である。
【図4】本発明によって変更されたラジカルアシストシ
ーケンシャル(RAS)法による蒸着工程を示す図であ
る。
【符号の説明】
1 上部プロセスチャンバ 2 下部プラズマソースチャンバ 3 反応器 4 ヘリカルインダクタコイル 5 ガスインジェクションリング 6 ホットプレート 7 ベローズ 8 セラミックス真空遮断器 9 シャッタ 10 排出ポート 11 RFケーブル 12 ベローズ手段 13 ガス分散板 14 プラズマ 15 プラズマソースチャンバ 20 ガスマニホールド 31、32 誘電性シリンダ 33 チャンネル
───────────────────────────────────────────────────── フロントページの続き (72)発明者 文 昌 郁 大韓民国 漢城市 盧原区 孔陵2洞 106番地 孔陵暁星 ファウントビル 342 棟 1402号 (72)発明者 尹 惠 榮 大韓民国 京畿道 龍仁市 器興邑 農書 里 山14−1番地 三星綜合技術院内 Fターム(参考) 4G075 AA24 AA30 BC04 CA25 CA47 DA02 DA18 EB01 EB24 EC09 EE31 FB04 4K030 BA44 CA04 FA04 KA30 LA15 5F045 AA08 AB32 AC01 AC11 AF01 BB02 DP03 DQ10 EB02 EC01 EH11 EH18

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 基板が備えられるウェーハサセプタを有
    する下部プロセスチャンバと、 前記下部プロセスチャンバの上部に設けられる上部プラ
    ズマソースチャンバと、 前記上部プラズマソースチャンバ内に設けられ、ガスが
    流動するチャンネルを有してプラズマ反応物を前記下部
    プロセスチャンバに供給する反応器と、 前記上部プラズマソースチャンバと反応器との間に設け
    られ、前記反応器を囲繞するインダクタと、 前記インダクタが備えられた反応器の周囲の空間と前記
    下部プロセスチャンバとの間に配置される開口部、及び
    この開口部を開閉するシャッタと、を具備して構成され
    る誘導結合プラズマ装置。
  2. 【請求項2】 前記反応器は、内部シリンダと、この内
    部シリンダを囲繞する外部シリンダと、前記内部シリン
    ダと外部シリンダとの間に設けられる環状チャンネルと
    を具備し、さらに、 前記環状チャンネルの上部は、前記上部プラズマソース
    チャンバの外部から延びるガスマニホールドと連結され
    て構成されることを特徴とする請求項1に記載の誘導結
    合プラズマ装置。
  3. 【請求項3】 前記内部シリンダは底部に向かって次第
    に狭くなるように形成され、それにともなって前記内部
    シリンダと外部シリンダとの間に設けられる環状チャン
    ネルの底部が次第に円形に変化するように構成されるこ
    とを特徴とする請求項2に記載の誘導結合プラズマ装
    置。
  4. 【請求項4】 前記環状チャンネルの上部に、多数のオ
    リフィスを備えるガス分散板が設けられて構成されるこ
    とを特徴とする請求項2または請求項3に記載の誘導結
    合プラズマ装置。
  5. 【請求項5】 前記環状チャンネルの上部に設けられる
    ガス分散板は、所定距離をおいて複数設けられて構成さ
    れることを特徴とする請求項4に記載の誘導結合プラズ
    マ装置。
  6. 【請求項6】 前記ウェーハサセプタは、前記下部プロ
    セスチャンバに対して電気的に浮遊していることを特徴
    とする請求項1または請求項2に記載の誘導結合プラズ
    マ装置。
  7. 【請求項7】 前記ウェーハサセプタは、下部プロセス
    チャンバに備わるセラミックス振動遮断器によって支持
    されるとともに、下部プロセスチャンバに対して電気的
    に浮遊していることを特徴とする請求項6に記載の誘導
    結合プラズマ装置。
  8. 【請求項8】 前記上部プラズマソースチャンバでは、
    前記インダクタが配置される反応器の周囲の空間に、パ
    ージ用不活性ガスが供給されることを特徴とする請求項
    1に記載の誘導結合プラズマ装置。
  9. 【請求項9】 前記インダクタの長さは、高周波電磁場
    の1/4波長であることを特徴とする請求項1に記載の
    誘導結合プラズマ装置。
  10. 【請求項10】 高周波のパワーは、前記インダクタの
    両端部の間の一捲回で供給され、前記インダクタの両端
    は接地または電気的に浮遊していることを特徴とする請
    求項9に記載の誘導結合プラズマ装置。
  11. 【請求項11】 高周波および低周波の電磁場が周期的
    に、または与えられたシーケンスに従って、断続される
    ことを特徴とする請求項1または請求項9に記載の誘導
    結合プラズマ装置。
  12. 【請求項12】 前記基板に直流電圧のバイポーラパル
    スが印加されることを特徴とする請求項1または請求項
    9に記載の誘導結合プラズマ装置。
  13. 【請求項13】 前記電磁場のパルスは、前記ガスを供
    給するためのパルスと同期されるとともに、ガスがシー
    ケンスで供給されることにより、改良されたラジカルア
    シストシーケンシャル(RAS)法による蒸着工程を実
    行することを特徴とする請求項11または請求項12に
    記載の誘導結合プラズマ装置。
JP2002361630A 2001-12-14 2002-12-13 誘導結合プラズマ装置 Expired - Fee Related JP4025636B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2001-0079314A KR100446619B1 (ko) 2001-12-14 2001-12-14 유도 결합 플라즈마 장치
KR2001-79314 2001-12-14

Publications (2)

Publication Number Publication Date
JP2003249493A true JP2003249493A (ja) 2003-09-05
JP4025636B2 JP4025636B2 (ja) 2007-12-26

Family

ID=19717043

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002361630A Expired - Fee Related JP4025636B2 (ja) 2001-12-14 2002-12-13 誘導結合プラズマ装置

Country Status (4)

Country Link
US (1) US6835919B2 (ja)
JP (1) JP4025636B2 (ja)
KR (1) KR100446619B1 (ja)
CN (1) CN1248289C (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142568A (ja) * 2003-11-04 2005-06-02 Samsung Electronics Co Ltd ヘリカル共振器型のプラズマ処理装置
JP2005203209A (ja) * 2004-01-15 2005-07-28 Anelva Corp ガス活性化装置
KR100743842B1 (ko) * 2006-04-25 2007-08-01 최대규 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
WO2008056742A1 (fr) * 2006-11-09 2008-05-15 Ulvac, Inc. Procédé de fabrication de film barrière
JP2011098313A (ja) * 2009-11-09 2011-05-19 Fuji Mach Mfg Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2012134453A (ja) * 2010-11-30 2012-07-12 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
JP2013211244A (ja) * 2012-03-02 2013-10-10 Panasonic Corp プラズマ処理装置及び方法
JP2014531701A (ja) * 2011-08-19 2014-11-27 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 高効率プラズマソース
KR20150058150A (ko) * 2012-09-27 2015-05-28 에스피피 테크놀로지스 컴퍼니 리미티드 플라즈마 식각 장치
JP2017503307A (ja) * 2013-11-18 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 取り外し可能なインターフェイスを有する誘電体導管アセンブリを使用したプラズマ発生源及び関連するアセンブリ及び方法
US10147585B2 (en) 2011-10-27 2018-12-04 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
JP2021036596A (ja) * 2010-11-30 2021-03-04 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003033647A (ja) * 2001-07-23 2003-02-04 Tokyo Ohka Kogyo Co Ltd プラズマ処理装置
US6969953B2 (en) * 2003-06-30 2005-11-29 General Electric Company System and method for inductive coupling of an expanding thermal plasma
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
KR100754165B1 (ko) * 2003-11-06 2007-09-03 삼성전자주식회사 반사형 액정표시소자 및 이를 이용한 프로젝터
KR100725037B1 (ko) 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
US7436122B1 (en) * 2005-05-18 2008-10-14 Aerojet-General Corporation Helicon hall thruster
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
KR100805557B1 (ko) * 2006-04-24 2008-02-20 최대규 다중 마그네틱 코어가 결합된 유도 결합 플라즈마 소스
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080232424A1 (en) * 2007-03-23 2008-09-25 Honeywell International Inc. Hearth plate including side walls defining a processing volume
KR100857807B1 (ko) * 2007-06-21 2008-09-09 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US20090139963A1 (en) * 2007-11-30 2009-06-04 Theodoros Panagopoulos Multiple frequency pulsing of multiple coil source to control plasma ion density radial distribution
KR100963291B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
KR100999583B1 (ko) * 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
KR100963287B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10685815B2 (en) * 2009-08-25 2020-06-16 Canon Anelva Corporation Plasma processing apparatus and device manufacturing method
JP5728482B2 (ja) * 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI522489B (zh) * 2011-07-26 2016-02-21 Nat Applied Res Laboratories Plasma secondary atomic layer deposition system
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103258581A (zh) * 2013-04-28 2013-08-21 大连民族学院 一种等离子体辐照平台
CN103269557A (zh) * 2013-04-28 2013-08-28 大连民族学院 一种射频离子源
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016056036A1 (ja) * 2014-10-06 2016-04-14 カンケンテクノ株式会社 排ガス処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9385003B1 (en) * 2015-02-16 2016-07-05 Lam Research Corporation Residue free systems and methods for isotropically etching silicon in tight spaces
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105714274A (zh) * 2016-03-31 2016-06-29 成都西沃克真空科技有限公司 一种等离子体增强化学气相沉积设备及制膜方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102435254B1 (ko) * 2018-10-30 2022-08-23 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 유도 코일 세트 및 반응 챔버
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
CN113707524B (zh) * 2020-05-20 2022-06-10 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的进气结构
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
WO2022046615A1 (en) * 2020-08-28 2022-03-03 Mattson Technology, Inc. Plasma strip tool with movable insert
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US20230260759A1 (en) * 2020-10-23 2023-08-17 Lam Research Corporation Integration of vapor deposition process into plasma etch reactor
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114752918B (zh) * 2021-01-08 2024-06-04 江苏鲁汶仪器股份有限公司 一种腔室的清洗方法
CN114836735B (zh) * 2021-02-01 2024-01-19 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP2023003828A (ja) * 2021-06-24 2023-01-17 東京エレクトロン株式会社 成膜装置及び成膜方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
JPH10144668A (ja) * 1996-11-14 1998-05-29 Tokyo Electron Ltd プラズマ処理方法
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142568A (ja) * 2003-11-04 2005-06-02 Samsung Electronics Co Ltd ヘリカル共振器型のプラズマ処理装置
JP4567979B2 (ja) * 2004-01-15 2010-10-27 キヤノンアネルバ株式会社 プラズマ処理システム及びプラズマ処理方法
JP2005203209A (ja) * 2004-01-15 2005-07-28 Anelva Corp ガス活性化装置
KR100743842B1 (ko) * 2006-04-25 2007-08-01 최대규 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
US8084368B2 (en) 2006-11-09 2011-12-27 Ulvac, Inc. Method of forming barrier film
WO2008056742A1 (fr) * 2006-11-09 2008-05-15 Ulvac, Inc. Procédé de fabrication de film barrière
JP2011098313A (ja) * 2009-11-09 2011-05-19 Fuji Mach Mfg Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2012134453A (ja) * 2010-11-30 2012-07-12 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
US11948778B2 (en) 2010-11-30 2024-04-02 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
JP7121786B2 (ja) 2010-11-30 2022-08-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および基板処理方法
US11101111B2 (en) 2010-11-30 2021-08-24 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
JP2021036596A (ja) * 2010-11-30 2021-03-04 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US9911580B2 (en) 2010-11-30 2018-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
US10763084B2 (en) 2010-11-30 2020-09-01 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
JP2014531701A (ja) * 2011-08-19 2014-11-27 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 高効率プラズマソース
US10229814B2 (en) 2011-10-27 2019-03-12 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
US10147585B2 (en) 2011-10-27 2018-12-04 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
JP2013211244A (ja) * 2012-03-02 2013-10-10 Panasonic Corp プラズマ処理装置及び方法
KR102107496B1 (ko) * 2012-09-27 2020-05-07 에스피피 테크놀로지스 컴퍼니 리미티드 플라즈마 식각 장치
JPWO2014050903A1 (ja) * 2012-09-27 2016-08-22 Sppテクノロジーズ株式会社 プラズマエッチング装置
KR20150058150A (ko) * 2012-09-27 2015-05-28 에스피피 테크놀로지스 컴퍼니 리미티드 플라즈마 식각 장치
JP2017503307A (ja) * 2013-11-18 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 取り外し可能なインターフェイスを有する誘電体導管アセンブリを使用したプラズマ発生源及び関連するアセンブリ及び方法

Also Published As

Publication number Publication date
CN1248289C (zh) 2006-03-29
KR20030049175A (ko) 2003-06-25
CN1426090A (zh) 2003-06-25
JP4025636B2 (ja) 2007-12-26
US20030111963A1 (en) 2003-06-19
KR100446619B1 (ko) 2004-09-04
US6835919B2 (en) 2004-12-28

Similar Documents

Publication Publication Date Title
JP4025636B2 (ja) 誘導結合プラズマ装置
KR101920842B1 (ko) 플라즈마 소스 디자인
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
JP5353905B2 (ja) プラズマ処理装置及び酸化膜の形成方法
US8771538B2 (en) Plasma source design
KR100255703B1 (ko) 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
EP1530230A2 (en) Helical resonator type plasma processing apparatus
JPH10134996A (ja) プラズマ処理装置
EP2755453A1 (en) Plasma generator and cvd device
US20030094238A1 (en) Plasma processing apparatus for spatial control of dissociation and ionization
JP3907087B2 (ja) プラズマ処理装置
KR100845890B1 (ko) 대면적 유도 결합 플라즈마 반응기
CN113169020A (zh) 电极阵列
JP3254069B2 (ja) プラズマ装置
US20060086322A1 (en) Device for production of a plasma sheet
KR100332257B1 (ko) 플라즈마 처리장치
JP2004533096A (ja) 誘導結合高密度プラズマ源
JP4426632B2 (ja) プラズマ処理装置
SG174008A1 (en) Plasma cvd apparatus and manufacturing method of magnetic recording media
JP2017150023A (ja) リモートプラズマ処理装置
JP6045485B2 (ja) 基板処理装置
JPH06140186A (ja) プラズマ製造方法
US20230207292A1 (en) Plasma processing apparatus and semiconductor device manufacturing method
KR101281191B1 (ko) 유도 결합 플라즈마 반응기
JPH0680640B2 (ja) プラズマ装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071005

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101012

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees