JP2002517914A - 誘電体層形成のための方法及び装置 - Google Patents

誘電体層形成のための方法及び装置

Info

Publication number
JP2002517914A
JP2002517914A JP2000553633A JP2000553633A JP2002517914A JP 2002517914 A JP2002517914 A JP 2002517914A JP 2000553633 A JP2000553633 A JP 2000553633A JP 2000553633 A JP2000553633 A JP 2000553633A JP 2002517914 A JP2002517914 A JP 2002517914A
Authority
JP
Japan
Prior art keywords
chamber
substrate
dielectric
dielectric layer
atoms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000553633A
Other languages
English (en)
Inventor
プラヴィン, ケー. ナルワンカール,
タルガット サヒン,
ランダル, エス. ユルダール,
アンキニーヅ ヴェラガ,
パトリシア リュー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002517914A publication Critical patent/JP2002517914A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Abstract

(57)【要約】 【課題】 誘電体層を形成及びアニールするための方法及び装置を提供する。 【解決手段】 本発明によれば、第1のチャンバに活性原子種が生成される。次いで、基板上に形成された誘電体層が、第1のチャンバから離れた位置にある第2のチャンバにおいて活性原子種に曝露される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、誘電体形成分野に関し、さらに詳細には、誘電体膜をアニールする
方法及び装置に関する。
【0002】
【従来の技術】
集積回路はその名の通り、トランジスタ、キャパシタやレジスタなどの数百万
個の能動素子と受動素子からなるものである。集積回路において計算力及び/ま
たは記憶能力をより高めるために、デバイスの表面形状が小型化または縮小され
て、デバイスの集積密度が高められる。デバイスの縮小化を可能にする重要な特
徴の一つは、キャパシタやゲート誘電体を高品質・高誘電率の膜として形成する
能力である。
【0003】 高誘電率膜は、一般に、五酸化タンタルや酸化チタンなどのセラミック膜(す
なわち、金属酸化物)である。これらの膜が堆積されると、格子内の陰イオン(
酸素)部位に空格子点をもつ傾向がある。現在、これらの空格子点は、空格子点
を満たす活性種を与えるガス混合物で膜をアニールすることで充填されている。
例えば、現在、誘電体膜をアニールするために、炉アニール処理及び高速熱酸化
処理が用いられている。このような処理では、高速熱処理装置の炉またはチャン
バ内に基板が配置され、800℃を超える高温まで加熱しながら、炉やチャンバ
内にO2やN2などのアニールガスが直接それぞれに供給され、その中に基板が配
置される。アニールガスから活性種を生成するためには、これらの処理を800
℃を超える極めて高い温度で実行しなければならない。
【0004】
【発明が解決しようとする課題】
このようにアニール温度が高いことにより生じる問題の一つに、五酸化タンタ
ルなどの誘電体膜が高温に曝されると結晶化し、それにより漏れ電流が高くなる
可能性がある。さらに、アニール温度が高いことにより、他のイオンが、特に素
子の界面の膜内に拡散し、電気特性が低くなることがある。さらに、現在用いら
れている多くの高密度処理では、素子でのドーパントの拡散または再分配を防止
するか、または最小限に抑えるために、熱予算を低減させる必要がある。さらに
、高温処理を引き続き用いないように融点が低い材料を利用する処理もある。
【0005】 したがって、低温で高品質・高誘電率の誘電膜を形成する方法及び装置が望ま
れる。
【0006】
【課題を解決するための手段】
誘電体層をアニールする方法及び装置が記載される。本発明によると、第1の
チャンバに活性原子種が生成される。次いで、第1のチャンバから離れた位置に
ある第2のチャンバにおいて、基板上に形成された誘電体層が活性原子種に曝露
される。
【0007】
【発明の実施の形態】
本発明は、誘電体膜をアニールする新規な方法及び装置を記載する。以下の記
載において、特定の装置構成などの数々の詳細な記載及びプロセスパラメータは
、本発明を理解しやすいように記載されるものである。当業者であれば、本発明
の範囲から逸脱することなく、開示された詳細の代替となる構成及びプロセスの
詳細を用いることが可能であることを理解されよう。他に、本発明を不要に不明
瞭にしないためにも、公知の半導体処理装置及び手順は詳細には記載されていな
い。
【0008】 本発明は、膜をパッシベーション及び/またはアニールする新規な方法及び装
置を記載する。本発明によれば、高反応性の原子種が用いられて、膜を窒化、パ
ッシベーション、堆積及びアニールする。高反応性の原子種は、O2及びN2Oな
どのアニールガスをマイクロ波に曝露して作られたプラズマにおいて形成される
。プラズマは、分子アニールガスから電気的に中立な高エネルギーの原子を発生
させる。活性原子種を生成するために用いられるプラズマは、基板をアニールま
たはパッシベーションするために配置するチャンバとは別の位置(遠隔位置)に
あるキャビティまたはチャンバで作られる。原子種がアニールチャンバに入ると
きに高エネルギー状態になるため、原子種は膜及び基板と容易に反応することか
ら、反応を起こすための基板温度を高くする必要がない。本発明では遠隔位置で
生成する高反応性の原子種を利用するため、400℃以下の低い基板温度で、膜
及び基板を窒化、パッシベーション、堆積及びアニールすることが可能となる。
本発明の低温処理により、集積回路の製造に必要な熱バジェットを実質的に低減
できる。さらに、活性原子種が遠隔位置で生成されるため、アニールまたはパッ
シベーションする基板は、活性原子種を生成するために用いる有害なプラズマに
曝露されない。
【0009】 本発明の一実施形態において、遠隔位置で生成した活性原子種を用いて、シリ
コン基板にパッシベーションを施した後にゲート誘電体層を形成するか、または
キャパシタ電極にパッシベーションを施した後にその上にキャパシタ誘電体層を
形成する。集積回路を高密度に組み立てるために、ゲート及びキャパシタの誘電
体膜の厚みが縮小化されるのにつれ、デバイスの信頼性と特性にとって、基板と
誘電体間での原子レベルの界面が益々重要になってくる。遠隔位置で生成した活
性原子種で基板をパッシベーションすることにより、基板と誘電体膜間の原子レ
ベルの界面を高めることができることで、デバイスの信頼性と特性も向上する。
【0010】 本発明の別に実施形態において、遠隔位置で生成した活性原子種を用いて、ゲ
ート誘電体またはキャパシタ誘電体などの活性誘電体膜をアニールする。本発明
のこの実施形態によれば、誘電体膜が基板全体に堆積される。次いで、誘電体膜
は、反応性酸素原子または反応性窒素原子など、遠隔位置で生成した活性原子種
に曝露される。高エネルギーの原子種は、誘電体膜と容易に反応して、格子にあ
る空格子点を充填し、充填されない状態のまま維持されると、漏れ電流が多くな
り、デバイス特性も低下する可能性がある。遠隔位置で生成した活性原子種を用
いると、二酸化シリコン及びシリコンオキシナイトライドなどのシリコン酸化物
、五酸化タンタル(Ta25)、酸化チタン(TiO2)及びチタンをドープし
た五酸化タンタルなどの遷移金属移誘電体、さらにはBST及びPZTなどの強
誘電性及び圧電性の誘電体など、広範囲の誘電体をアニールすることができるが
、誘電体はこれらの誘電体に限定されるものではない。さらに、反応性窒素原子
などの活性原子種を用いて、窒化シリコンなどの誘電体バリア層をアニールして
、そのバリア性を高めることができる。
【0011】 本発明の実施形態において、遠隔位置で生成した活性原子種を堆積チャンバ内
に供給しながら、誘電体膜が堆積される。このようにして、誘電体膜は堆積され
るながらアニールされるため、別のアニールステップをとる必要がなくなる。
【0012】 このように、誘電体層堆積前の基板のパッシベーション、誘電体堆積中のアニ
ーリング、誘電体堆積後のアニーリングを含む誘電体膜形成のすべての段階にお
いて、遠隔位置で生成した活性原子種を用いることができる。このようにして、
高品質、高性能のキャパシタ及びゲート誘電体、さらにはバリア層の組み立て可
能となる。
【0013】 本発明の一つの特定の実施形態において、遠隔位置で生成した反応性酸素原子
を用いて、ダイナミックランダムアクセスメモリ(DRAM)のキャパシタ誘電
体として用いられる遷移金属誘電体をアニールする。本発明のこの実施形態では
、化学気相堆積(CVD)法を用いて、遷移金属誘電体膜がDRAMセルの下部
電極全体に形成される。次いで、遷移金属膜は、アニールチャンバとは別のチャ
ンバで形成された反応性酸素原子で、400℃よりも低い温度でアニールされる
。遠隔位置で生成した反応性酸素原子は、堆積した遷移金属膜と容易に反応し、
膜に露出場所を満たす。さらに、反応性酸素原子は、炭素と化学的に反応して、
後にチャンバから排気される二酸化炭素(CO2)蒸気を形成することにより、
炭素の汚染物質を除去する。遠隔位置で生成した反応性酸素原子で誘電体膜をア
ニールすることにより、膜の漏れ電流は略低減できる。次いで、高品質・高誘電
率の膜上にキャパシタ上部電極が形成されることにより、組み立てられたセルの
特性及び信頼性を高めることができる。
【0014】 図1及び図2a〜2eを参照して、本発明により誘電体層を形成しアニールす
る方法を記載する。図1は、本発明の異なる窒化、パッシベーション、堆積及び
アニール処理を利用する単一プロセスを示す流れ図である。図2a〜図2eは、
本発明のプロセスを用いて、DRAMセルのキャパシタを形成する本発明の実施
形態を示す。これらの特定の詳細は、本発明の実施形態を例示的に示すものであ
って、本発明を制限するものではないことを理解されたい。さらに、本発明の窒
化、パッシベーション、堆積及びアニールプロセスが、単一のプロセスで用いら
れる必要なく、さまざまな異なる集積回路を形成するために、個別または互い異
なる組み合わせをして用いられてもよいことを理解されたい。
【0015】 図3a及び図3bには、本発明のアニール及び/またはパッシベーションステ
ップに活性原子種を供給するために用いられる装置300の一例が示されている
。活性原子種を供給するために使用可能な市販されている装置の一例は、アプラ
イドマテリアルズ社(Applied Materials)のCentura
Advanced Strip Passivation Plus(ASP
)チャンバである。装置300は、基板をパッシベーションまたはアニールする
ために配置するプロセスチャンバ350に、活性原子種を生成供給するリモート
プラズマ発生器301を含む。リモートプラズマ発生器301は、マイクロ波源
でマイクロ波を生成するマグネトロン302を含む。マグネトロン302は、1
0,000ワットまでの電力で、2.5Ghzのマイクロ波エネルギーを発生で
きるものが好ましい。必要な電力量は、アニールチャンバ350のサイズによる
(比例する)ことに留意されたい。300mmのウエハを処理するために用いる
アニールチャンバでは、10,000ワットの電力は十分なものである。マイク
ロ波源を用いて装置300にプラズマを発生させるが、高周波(RF)などの他
のエネルギー源が用いられてもよい。
【0016】 マグネトロン302は、インピーダンス整合用に設けられたアイソレータダミ
ー負荷に結合される。ダミー負荷は反射電力を吸収するため、反射電力はマグネ
トロンヘッドに進まない。マイクロ波エネルギーを自動調節器308に伝送する
導波管306によりアイソレータダミー負荷304が結合される。自動調節器3
08は、インピーダンス整合ヘッドと別の検出モジュールとからなり、3つのス
テップモータで駆動されるインピーダンス整合スタブを用いて、電源に向かうマ
イクロ波エネルギーの反射電力を低減する。自動調節器308は、マイクロ波エ
ネルギーをマイクロ波アプリケータのキャビティ(またはチャンバ)310の中
心に集束させることにより、アプリケータのキャビティ310内に供給されるア
ニールガスでエネルギーが吸収される。自動調節器が好ましいが、手動の調節器
が用いられてもよい。
【0017】 アプリケータ310は、マグネトロン302から受けたマイクロ波エネルギー
を用いて、アプリケータ310内に配置された石英プラズマ管を通して流しなが
ら、アニールガスからプラズマを作り出す。活性原子種を生成するために用いら
れるO2、N2O及びN2に限定されるものではないが、このようなアニールガ
スのタンクなどの供給源312が、マイクロ波アプリケータ310に結合される
。さらに、アルゴン(Ar)やヘリウム(He)などの不活性ガス源も、アプリ
ケータ310に結合されてよい。予熱水銀ランプを用いて、紫外線をプラズマ管
内に放射して、プロセスガスを部分的にイオン化することにより、マイクロ波エ
ネルギーがプラズマを点火しやすくしてもよい。
【0018】 マグネトロン302からのマイクロ波エネルギーは、アニールガスを主に3つ
の成分からなるプラズマガスに変換する。すなわち、イオン化または荷電原子(
ラジカル)、活性(反応性)原子種及び非解離アニールガスである。例えば、O 2 がアニールガスである場合、マイクロ波エネルギーはO2ガスを酸素ラジカル、
反応性酸素原子に解離するが、アニールガスにはO2分子として残るものがある
。N2がアニールガスである場合、マイクロ波はN2ガスを窒素ラジカル、反応性
窒素原子に解離するが、アニールガスにはN2分子として残るものがある。反応
性酸素原子や反応性窒素原子などの反応性原子種は、荷電またはイオン化されな
いが、高エネルギー原子である。反応性原子種が高エネルギー化されるため、高
反応性状態にあることから、誘電体膜と容易に反応を起こして、その中の空格子
点を充填するか、または膜や基板にパッシベーションを施す。原子種がアニール
チャンバ350に入ると高エネルギー化されるため、アニールガスを活性化させ
るために、チャンバ350において温度を高くする必要がない。
【0019】 アプリケータ310は、チャンバ350の蓋にボルト締めされる。濃縮された
プラズマ混合物が、導管314を介してチャンバ350へと下流に流れる。プラ
ズマが導管314を流れるにつれ、イオン化された原子は、チャンバ350に到
達する前に電気的に中立になり、高反応性原子種になる。したがって、電気的に
中立で高反応性の原子のみがチャンバ350内に流入する。この時点でプロセス
ガスは高反応性であるが、混合物は、基板や基板に形成されたトランジスタなど
の電気素子に電気的にダメージを与えるものではない。活性原子種は、アニール
する基板を配置しているチャンバ350とは異なる位置または離れた位置にある
場所(チャンバ310)で生成されるため、活性原子種は、「遠隔位置で生成し
た」ものであると言われる。
【0020】 図3bに示されているように、装置300のチャンバ350は、チャンバ35
0において上向きに配置されたウエハまたは基板351を支持するためのウエハ
支持体352を含む。ウエハ支持体352は、アルミニウムチャック354を含
むものであってよい。チャンバ350は、複数個(14個)の石英タングステン
ハロゲンランプ358からの赤外線が通過して伝送される石英窓356を含む。
処理中、プロセスチャンバのすぐ下に取り付けたランプは、チャックを放射状に
加熱し、熱伝導によりチャックがウエハを加熱する。閉ループの温度制御システ
ムが、チャックに取り付けた熱電対を用いて基板またはウエハの温度を感知する
。温度制御システムは、ランプ358の強度を変化させてウエハの温度を調節す
る。ランプは、ウエハを加熱するための熱源として使用されることが好ましいが
、抵抗加熱器などの他の加熱源が用いられてもよい。ポンプなどの真空源360
が、排気出口362に結合され、チャンバ圧力を制御して生成物によりガスを除
去する。ウエハのすぐ上方には、シャワヘッドまたはガス分配板364が取り付
けられる。シャワヘッド364は、複数の穴を有する3枚の石英板からなること
で、活性原子種がガス入口366を流れると、ウエハ全体にそれを均一に分配す
る。
【0021】 本発明の一実施形態において、チャンバ350はまた、化学気相堆積(CVD
)法により膜を堆積するために使用する堆積ガスを受けるように構成される。こ
のようにして、誘電体膜が、膜を堆積するために用いられるものと同じチャンバ
でアニールされてもよく、または誘電体膜が、堆積されながらアニールされても
よい。さらに、チャンバ350は、アプライドマテリアルズ社のPoly Ce
ntura単一ウエハ化学気相堆積リアクタまたはアプライドマテリアルズ社の
ハニカム状の熱源を有するRTP Centuraなどのサーマルリアクタであ
ってよく、これらのリアクタはそれぞれ、リモートプラズマ発生器からの活性原
子種を受けるように構成されたものである。本発明の一実施形態において、装置
300は、例えば、化学気相堆積(CVD)チャンバ、負荷ロック及びロボット
アーム付き移送チャンバなどの他のチャンバを含むクラスタツールの一部である
。クラスタツールの形状で移送チャンバ周辺にさまざまなチャンバを構成するこ
とによって、酸素周囲に曝露されることなくクラスタツールのさまざまなチャン
バ間でウエハまたは基板を移送することができる。
【0022】 本発明の窒化、パッシベーション、堆積及びアニールステップは、基板上で行
われる。本発明の目的から、基板は、本発明に従って誘電体膜が堆積されアニー
ルされる材料である。基板は、シリコン基板及びガリウムヒ素基板などの半導体
製品を製造するさいに使用される基板であってよく、パネルディスプレイを生産
するために用いられるガラス基板など、他の目的で使用される他の基板であって
もよい。
【0023】 本発明の一実施形態において、基板は、図2aに示す基板200などのダイナ
ミックランダムアクセスメモリ(DRAM)セルを組み立てるさいに使用する基
板である。基板200は、ドープ領域202を有する公知のシリコンエピタキシ
ャル基板201と、パターン化された層間誘電体204を含む。キャパシタ下部
電極206が、拡散領域202と接触させ、ILD204を覆って形成される。
キャパシタ下部電極206は、例えば、シラン(SiH4)及びH2からなる反
応ガスを利用して化学気相堆積(CVD)法によりポリシリコン層をブランケッ
ト堆積し、次いで、ブランケット堆積された材料を公知のフォトリソグラフィ及
びエッチング技術を用いて電極内にパターニングする任意の公知の技術で形成可
能である。下部電極206がポリシリコン電極であれば、一般に、2〜5×10 20 atoms/cm3の密度になるようにドープされる。また、下部電極206
は、半球状にグレイン化されたポリシリコン(HSG)または「ラフポリ」電極
及び窒化チタン(TiN)及びタングステン(W)電極などの金属電極に限定さ
れるものではないが、これらのようなキャパシタ電極の他のタイプのものであっ
てよい。さらなる他の例では、単結晶シリコン基板201を下部電極として作用
させてもよい。
【0024】 流れ図100のブロック102に示されているように、本発明の一実施形態に
おいて、第1のステップは、基板200を窒化して、図2aに示されているよう
に下部電極206上に10〜25Åの薄い窒化シリコンバリア層205を形成す
ることである。下部電極206の窒化は、下部電極206がシリコン電極である
場合が望ましい。窒化シリコン膜205は、下部電極206用の酸化防止バリア
層を形成する。このようにして、酸素は、ポリシリコン電極206の粒界を貫通
できず、キャパシタ誘電体の有効な誘電率が低下し、電極抵抗を増大する可能性
がある酸化物をそこに形成する。さらに、単結晶基板201を下部電極として作
用させる公知のキャパシタ構造において、基板201の窒化が望まれる。
【0025】 アニールチャンバ350において遠隔位置で生成した反応性窒素原子に基板2
00を曝露することにより基板200を窒化しながら、基板200を700〜9
00℃の温度まで加熱し、チャンバ350の圧力を0.5Torr〜2Torr
に維持することにより、薄い窒化シリコン層が形成可能である。反応性窒素原子
は、0.5〜2SLMのN2またはアンモニア(NH3)をキャビティ310内
に流入させ、1400〜5000ワットの電力をマグネトロン302に印加して
、キャビティ310にN2またはNH3からプラズマを発生させることにより形成
可能である。窒化処理により形成されるシリコン窒化物は、ポリシリコン電極2
06など、反応性窒素原子と反応できるシリコンがある場所のみに存在し、IL
D206など、反応するシリコンがない領域には存在しない。30〜120秒間
遠隔位置で生成した反応性窒素原子で基板200を窒化することにより、適切な
窒化シリコン層205が形成可能である。この替わりとして、LPCVDバッチ
タイプの炉での熱窒化処理などの他の公知の技術により、薄い窒化シリコン層2
05が形成されてもよい。
【0026】 次に、本発明の一実施形態において、流れ図100のステップ104に示され
ているように、図2bに示されているように、遠隔位置で生成した反応性窒素原
子で基板がパッシベーションされて、窒化シリコンバリア層205の欠陥を取り
除く。窒化シリコンバリア層205は、チャンバ350でチャック354上に基
板を配置して、300〜500℃の温度まで基板200を加熱しながら、0.5
〜2SLMの流量でN2アニールガスがキャビティ310内に供給され、140
0〜1500ワットの電力がマグネトロン302に与えられることによってパッ
シベーションされてよい。マグネトロン302からのマイクロ波は、N2プロセ
スガスからキャビティ310にプラズマを発生する。次いで、高反応性の電気的
に中立な窒素原子207が、導管314を通ってチャンバ350内に流入し、チ
ャンバ内で基板200をパッシベーション209する。基板200を活性窒素原
子207に曝露して、窒素原子でキャパシタ電極206を満たすことによって、
キャパシタ電極が引き続き酸化されないようにする。窒化シリコン層205は、
30〜120秒間遠隔位置で生成した反応性窒素原子に基板200を曝露するこ
とにより十分にパッシベーションされてよい。この替わりとして、窒化シリコン
バリア層205は、N2アニールガスの代わりとなるガス(3〜10%H2及び9
7〜90%N2)を作り置き換えてパッシベーションされてもよい。水素(H2
を加えると、さらに欠陥を取り除きやすくなり、さらに汚染物質を除去しやすく
なる。
【0027】 次に、ブロック106に示されているように、基板200全体に誘電体膜が形
成される。本発明の一実施形態では、高誘電率の誘電体膜208が、図2cに示
されているように、基板200のILD204と下部電極206全体にブランケ
ット堆積される。本発明の一実施形態において、誘電体膜は、五酸化タンタル(
Ta25)及び酸化チタン(TiO2)に限定されるものではないが、これらの
ような遷移金属誘電体膜である。別の実施形態では、誘電体層208は、チタン
をドープした五酸化タンタル膜である。さらに、誘電体層208は、Ta25
TiO2/Ta25を積層した誘電体膜などの異なる誘電体膜スタックからなる
複合誘電体膜であってもよい。さらに、誘電体層208は、チタン酸バリウムス
トロンチウム(BST)及びチタン酸鉛ジルコニウム(PZT)などの圧電誘電
体や強誘電体であってもよい。
【0028】 本発明の他の実施形態では、誘電体層208は、二酸化シリコン及びシリコン
オキシナイトライドなどのシリコン酸化物誘電体、及び公知のONO及びNOな
どのシリコン酸化物とシリコン窒化物膜からなる複合誘電体スタック、及び窒化
酸化物であってよい。このような酸化物の形成は、公知のものであり、ゲート誘
電体層及びキャパシタ誘電体を形成するさいに用いられてよい。例えば、TEO
Sなどのシリコン源及びO2などの酸素源を利用する化学気相堆積法を用いて、
低温二酸化シリコン膜が形成されてもよい。
【0029】 誘電体層208を基板200に形成するために、アプライドマテリアルズ社の
CVD単一ウエハリアクタのチャンバなどの熱処理チャンバ内に基板が配置され
てよい。この替わりとして、基板201は、堆積ガスを受けるように構成された
アニールチャンバ350内に配置されるか、または載置されてよい。次いで、基
板を所定の堆積温度まで加熱しながら、チャンバ内の圧力を所定の堆積圧力まで
下げる(低減させる)。次いで、堆積ガスはチャンバ内に供給され、誘電体層が
それから形成される。
【0030】 熱化学気相堆積法により五酸化タンタル(Ta25)誘電体膜をブランケット
堆積するために、TAETO[Ta(OC255]及びTAT−DMAE[T
a(OC254(OCHCH2N(CH32)に限定されるものではないが、こ
れらのようなタンタル源と、O2やN2Oなどの酸素源からなる堆積ガス混合物を
堆積チャンバ内に供給しながら、基板を300〜500℃の堆積温度まで加熱し
て、チャンバを0.5〜10Torrの堆積圧力で維持してもよい。堆積ガスを
加熱基板全体に流すと、金属有機Ta含有前駆体が熱分解して、五酸化タンタル
膜を引き続き堆積する。一実施形態において、毎分10〜50ミリグラムの速度
でチャンバ内にTAETOまたはTAT−DMAEを供給しながら、0.3〜1
.0SLMの速度でO2またはN2Oをチャンバ内に供給する。TAETO及びT
AT−DMAEは、直接に液体を注入して供給されてもよいし、堆積チャンバに
入れる前にバブラで蒸発させてもよい。0.5〜2.0SLMの速度でN2、H2 及びH3などのキャリヤガスが用いられて、蒸発されたTAETOまたはTAT
−DMAE液を堆積チャンバ内に輸送してもよい。所望の厚みの誘電体膜508
が形成されるまで、堆積が続けられる。五酸化タンタル(Ta25)誘電体膜の
厚みが50〜200Åとなれば、キャパシタ誘電体は適切なものとなる。
【0031】 酸素ガスO2と異なり、酸化性物質(酸素源)として亜酸化窒素(N2O)を用
いると、堆積処理中に堆積した五酸化タンタル(Ta25)誘電体膜の電気的特
性が高くなることが分かった。O2と異なり、N2Oを使用すると、漏れ電流を低
減し、さらに組み立てたキャパシタのキャパシタンスを高めることが分かった。
酸化性物質としてN2Oを含ませることで、成膜中に膜から炭素を除去しやすく
なり、これによって膜質が高められる。
【0032】 本発明の一実施形態において、誘電体層208は、チタン(Ti)をドープし
た五酸化タンタル(Ta25)膜である。チタンをドープした五酸化タンタル膜
は、TIPT(C12264Ti)に限定されるものではないが、このようなチ
タン源をプロセスチャンバに与えながら、上述したような五酸化タンタル膜を形
成することにより、熱化学気相堆積法を用いて形成されてよい。イソプロピルア
ルコール(IPA)などの適切な溶剤を用いて約50%希釈したTIPIが、直
接液を注入するか、またはバブラとN2などのキャリヤガスを用いてプロセスチ
ャンバ内に供給されてよい。希釈されたTIPTが5〜20mg/分の範囲の流
量で用いられて、5〜20原子パーセントのチタンドーピング密度と20〜40
の誘電率を有する五酸化タンタル膜を形成してよい。正確なTiドーピング密度
は、チタン源の流量に対してタンタル源の流量を変化させることに制御可能であ
る。チタン原子をドープした五酸化タンタル膜は、ドープされていない五酸化タ
ンタル膜よりも誘電率が高くなることを理解されたい。
【0033】 本発明の別の実施形態では、誘電体層208は、Ta25/TiO2/Ta2 5 スタックなどの異なる誘電体材料のスタックからなる複合誘電体層である。T
25/TiO2/Ta25複合膜は、上述したように最初に五酸化タンタル膜
を堆積させて形成されてよい。20〜50Åの厚みをもつ五酸化タンタル膜を堆
積させた後、タンタル源の流れを停止させ、5〜20mg/分の希釈流量で、T
IPTなどのタンタル源の流れと置き換える。20〜50Åの厚みをもつ酸化チ
タン膜を堆積させた後、チタン源をタンタル源と置き換えて堆積を続け、20〜
50Åの厚みをもつ第2の五酸化タンタル膜を形成する。2つの五酸化タンタル
(Ta25)膜の間に誘電率が高い酸化チタン(TiO2)を挟むことにより、
複合スタックの誘電率が、五酸化タンタル(Ta25)からなる同質層そのもの
よりも高くなる。
【0034】 次に、流れ図100のブロック108に記載されているように、図2dに示さ
れているように、遠隔位置で生成した活性原子種211で誘電体膜208がアニ
ールされて、アニールされた誘電体層210を形成する。誘電体膜208は、リ
モートプラズマ発生器301に結合されたアニールチャンバ350内に基板は配
置することによってアニールされてよい。次いで、基板200は、アニール温度
まで加熱され、アプリケータチャンバ310にアニールガスを解離させて生成さ
れた活性原子種211に曝露される。アニールチャンバ(基板を配置するチャン
バ)から離れた位置にあるチャンバに活性原子種を生成することにより、活性原
子種を形成するために使用される有害なプラズマに基板を曝露することなく、低
温でアニールを行うことができる。本発明のプロセス及び装置では、400℃を
下回るアニール温度を用いることが可能となる。遠隔位置で生成した活性原子種
を用いて誘電体膜208をアニールすることにより、誘電体膜の堆積温度以下の
アニール温度を使用できる。
【0035】 本発明の一実施形態において、誘電体膜208は遷移金属誘電体であり、遠隔
位置で解離するO2ガスで形成された反応性酸素原子でアニールされる。誘電体
層208は、2SLMのO2と1SLMのN2を有するアニールガスをチャンバ3
10に供給し、500〜1500ワットの電力をマグネトロン302にかけて、
アニールガスからプラズマを点火させるマイクロ波を発生させることにより作ら
れた反応性酸素原子でチャンバ350においてアニールされてよい。この替わり
として、反応性酸素原子は、2SLMのO2と3SLMのアルゴン(Ar)を有
するアニールガスをキャビティ310内に流入させて形成されてもよい。反応性
酸素原子がアニールチャンバ350内に供給されている間、基板200は、約3
00℃の温度まで加熱され、チャンバ350は、約2Torrのアニール圧力で
維持される。誘電体層208は、30〜120秒間反応性酸素原子に基板200
を曝露することにより十分にアニールされてよい。
【0036】 アニールガスの流れに、N2またはアルゴン(Ar)などの不活性ガスが含ま
れて、活性原子種が再び結合するのを防ぐようにすることが好ましい。活性原子
種(例えば、反応性酸素原子)がアプリケータキャビティ310からアニールチ
ャンバ350に進むにつれ、互いに衝突して再結合してO2分子になること留意
されたい。不活性ガスをアニールガスの混合物中に含ませることにより、不活性
ガスは解離しないため、再結合させずに活性原子種が衝突できる原子を与える。
さらに、活性原子種の再結合を防ぎやすくするために、キャビティ310とアニ
ールチャンバ350間の距離を可能な限り短く保つことが望ましい。
【0037】 遷移金属誘電体膜208を反応性原子の酸素でアニールすると、誘電体膜20
8中の酸素の空格子点を充填する(部位を満たす)ことで、膜の漏れを大幅に低
減する。さらに、遷移金属誘電体208をアニールすることにより、漏れに寄与
する可能性のある膜内の炭素(C)を除去する。タンタル源とチタン源、TAT
−DMAE、TAETO及びTIPTは炭素含有化合部であるため、炭素は遷移
金属誘電体内に組み込まれる可能性がある。反応性酸素原子は、炭素と反応して
、後にチャンバから排気される二酸化炭素(CO2)上記を形成することにより
膜から炭素を除去する。
【0038】 図4は、五酸化タンタル誘電体膜を遠隔位置で生成した反応性酸素原子に曝露
すると、堆積膜の質と電気特性が高められる様子を示す。グラフ402は、10
0Åのアニールされていない五酸化タンタル誘電体膜を有するキャパシタの漏れ
電流が、さまざまな上部電極電圧で変化する様子を示す。グラフ404は、遠隔
位置で生成した反応性酸素原子でアニールした100Åの五酸化タンタル誘電体
膜を有するキャパシタの漏れ電流が、さまざまな上部電極電圧で変化する様子を
示す。グラフ402から分かるように、アニールされていない五酸化タンタル誘
電体を利用するキャパシタは、+/−1.5ボルトの電圧が上部電極にかけられ
る場合は約1×10-1(amp/cm2)の高い漏れ電流が生じ、0ボルトの電
圧が上部電極にかけられる場合は1×10-6(amp/cm2)の高い漏れ電流
が生じる。これに対して、五酸化タンタル誘電体が遠隔位置で生成した反応性酸
素原子に曝露されると、漏れ電流は、+/−1.5ボルトの電圧が上部電極にか
けられる場合は1×10-5(amp/cm2)の比較的低い漏れ電流が生じ、0
ボルトの電圧が上部電極にかけられる場合は1×10-9(amp/cm2)より
も低い漏れ電流が生じる。図4から容易に分かるように、五酸化タンタル誘電体
膜を遠隔位置に生成した活性酸素原子に曝露することにより、膜の漏れ電流が飛
躍的に改善(低減)される。
【0039】 本発明の一実施形態において、流れ図100のブロック107に記載するよう
に、堆積ステップ106とアニールステップ108は、誘電体膜を堆積しながら
アニールさせるように同時に生じさせる。リモートプラズマ発生器源からリモー
トプラズマを受けるように結合され、さらに堆積ガス混合物を受けるように結合
された単一の堆積/アニールチャンバを同時に用いて、誘電体膜が堆積されアニ
ールされてよい。例えば、本発明の一実施形態では、TAT−DMAEまたはT
IPTなどの金属源、TEOSなどのシリコン源及びO2またはN2などの酸素源
とからなる堆積ガス混合物が、共通のアニール/堆積チャンバ内に供給されなが
ら、基板を所望の堆積温度まで加熱し、チャンバを所望の堆積圧力で維持してよ
い。同時に、0.5〜2SLMの流量でリモートプラズマ発生器300のアプリ
ケータキャビティチャンバ310内に、O2などのアニールガスが供給されてよ
い。次いで、反応性酸素原子がチャンバ310からアニール/堆積チャンバ内に
流れる。次いで、反応性酸素原子は、堆積ガス混合物から得られた金属またはシ
リコンと反応して、金属酸化物またはシリコン酸化物化合物をそれぞれ形成する
。本発明の一実施形態では、堆積/アニールチャンバへの唯一の酸素原子源は、
アプリケータ310からの反応性酸素原子である。
【0040】 本発明の次のステップは、流れ図100のブロック110に記載されているよ
うに、デバイス処理を完了させることである。例えば、図2eに示されているよ
うに、アニールされた誘電体層210全体にキャパシタ上部電極212が形成さ
れてよい。アニールされた誘電体膜210全体にポリシリコン膜やTiNなどの
金属膜をブランケット堆積させた後、公知のフォトリソグラフィ及びエッチング
技術を用いて、電極膜と誘電体層をパターニングすることを含む任意の公知の技
術を用いて、上部電極212を形成してよい。
【0041】 本発明の別の実施形態では、遠隔位置で生成した活性原子種を用いて、金属酸
化物半導体(MOS)トランジスタを組み立ててもよい。図5aに示されている
ように、任意のステップである第1のステップで、上述したように、遠隔位置で
生成した反応性窒素原子503で単結晶シリコン基板502を窒化する。遠隔位
置で生成した反応性窒素原子で基板502を窒化することにより、基板502上
に薄い窒化シリコン膜501が形成され、これにより、シリコン基板502と引
き続き堆積されるゲート誘電体層との間の界面性が高められる。次に、図5aに
示されているように、窒化された基板502全体にゲート誘電体層504が形成
される。ゲート誘電体層504は、熱成長させた二酸化シリコン膜、CVD法を
用いて堆積させた二酸化シリコン膜、または五酸化タンタルまたは酸化チタンも
しくはそれらを組み合わせたものなどの遷移金属膜であってよい。ゲート誘電体
504は、一般に、20〜100Åの厚みをもつ。次に、図5cに示されている
ように、誘電体膜504は、反応性酸素原子などの遠隔位置で生成した活性原子
種505でアニールされて、上述したようにアニールされた誘電体膜506を形
成する。ゲート誘電体膜をアニールすると、格子中の空格子点が充填され、一般
に膜質が高められる。アニール処理ステップは、ゲート誘電体を堆積させた後、
別のステップとして行うか、またはゲート誘電体の堆積と同時に行ってもよい。
アニールされたゲート誘電体506を形成した後、ポリシリコンまたは金属もし
くはそれらの組み合わせなどのゲート電極材料が、アニールされたゲーツ誘電体
506全体にブランケット堆積され、図5dに示されているように、耕地のフォ
トリソグラフィ及びエッチング技術を用いてゲート電極508内にパターン化さ
れてよい。次いで、MOSデバイスの組み立てを完全なものにするために、一対
のソース/ドレイン領域510が、公知のイオン打込みまたは固体源拡散技術を
用いて、ゲート電極508の両側に形成されてよい。
【0042】 遠隔位置で生成した活性原子種で誘電体膜を形成及び/またはアニールするた
めの新規な方法及び装置を記載してきた。膜をアニール及び/または堆積させる
ために遠隔位置で生成した活性原子種を利用することによって、高品質・高誘電
率の膜を低温で形成することが可能となる。特定の装置と特定のプロセスに対し
て本発明を記載してきたが、記載した詳細は、限定するものではなく例示的なも
のであり、本発明の範囲は請求の範囲により規定されるべきものであることを理
解されたい。
【0043】 以上、誘電体膜を低温でアニールする方法及び装置が記載された。
【図面の簡単な説明】
【図1】 本発明による誘電体層の形成プロセスを示す流れ図である。
【図2a】 層間誘電体と下部電極を含む基板の断面図である。
【図2b】 図2aの基板のパッシベーションを示す断面図である。
【図2c】 図2bの基板上での誘電体膜の形成を示す断面図である。
【図2d】 図2bの基板上でのアニールされた誘電体膜の形成を示す断面図である。
【図2e】 図2dの基板上での上部電極の形成を示す断面図である。
【図3a】 本発明による誘電体層をアニールするために用いられてよい装置を示す図であ
る。
【図3b】 図3aの装置で使用されてよいチャンバを示す図である。
【図4】 アニールされていない五酸化タンタル誘電体層で形成されたキャパシタと、遠
隔位置で生成した活性原子種でアニールした五酸化タンタル誘電体層で形成され
たキャパシタの漏れ電流が、異なる電極電圧でどのように変化するかを示すグラ
フである。
【図5a】 活性原子種でパッシベーションを施された基板の断面図である。
【図5b】 図5aの基板上での誘電体膜の形成を示す断面図である。
【図5c】 図5aの基板上でのアニールされた誘電体の形成を示す断面図である。
【図5d】 図5cの基板上でのゲート電極及びソース/ドレイン領域の形成を示す断面図
である。
【符号の説明】
200…基板、202…ドープ領域、204…層間誘電体、206…キャパシ
タ下部電極。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/108 21/8242 (72)発明者 サヒン, タルガット アメリカ合衆国, カリフォルニア州, クパティノ, チャドウィック プレイス 11110 (72)発明者 ユルダール, ランダル, エス. アメリカ合衆国, カリフォルニア州, マウンテン ヴュー, オルモンド ウェ イ 1361 (72)発明者 ヴェラガ, アンキニーヅ アメリカ合衆国, フロリダ州, オーラ ンドー, ヘリテイジ ベイ サークル 8802 (72)発明者 リュー, パトリシア アメリカ合衆国, カリフォルニア州, サラトガ, ベルグローヴ サークル 18813 Fターム(参考) 4K030 AA11 AA14 AA24 BA01 BA17 BA42 BA44 BA58 CA04 DA08 FA03 JA10 LA01 LA15 5F038 AC05 AC15 AC16 AC18 DF05 EZ14 EZ17 EZ20 5F058 BA11 BC03 BF06 BF27 BF29 BH03 BH04 5F083 AD42 AD60 AD62 GA06 GA25 JA02 JA04 JA06 JA14 JA15 JA19 JA39 JA40 MA06 MA17 PR15 PR21 PR33

Claims (45)

    【特許請求の範囲】
  1. 【請求項1】 基板上に誘電体層を形成するステップと、 第1のチャンバに活性原子種を生成するステップと、 前記誘電体層を前記活性原子種に曝露しつつ、前記第1のチャンバとは別の位
    置にある第2のチャンバに基板を配置させて、前記誘電体層を前記活性原子種に
    曝露するステップと を備える誘電体層のアニール方法。
  2. 【請求項2】 前記活性原子種が、反応性酸素原子を含む請求項1に記載の
    方法。
  3. 【請求項3】 前記活性原子種が、反応性窒素原子種を含む請求項1に記載
    の方法。
  4. 【請求項4】 前記誘電体層が、金属酸化物を含む請求項1に記載の方法。
  5. 【請求項5】 前記誘電体層が、遷移金属誘電体を含む請求項1に記載の方
    法。
  6. 【請求項6】 前記誘電体層が、五酸化タンタル(Ta25)を含む請求項
    5に記載の方法。
  7. 【請求項7】 前記誘電体層が、400℃よりも低い温度まで加熱されつつ
    、前記活性原子種に曝露される請求項1に記載の方法。
  8. 【請求項8】 第1のチャンバに活性原子種を生成するステップと、 第2のチャンバにおいて化学気相堆積法により基板に誘電体層を堆積させ、前
    記誘電体層を堆積している間に、前記活性原子種を前記第2のチャンバ内に供給
    するステップとを備える誘電体層の形成方法。
  9. 【請求項9】 前記活性原子種が、酸素ラジカルを含む請求項8に記載の方
    法。
  10. 【請求項10】 前記誘電体層が、金属酸化物誘電体を含む請求項8に記載
    の方法。
  11. 【請求項11】 前記誘電体層が、遷移金属誘電体を含む請求項8に記載の
    方法。
  12. 【請求項12】 前記誘電体層が、五酸化タンタル(Ta25)を含む請求
    項11に記載の方法。
  13. 【請求項13】 前記誘電体層が、シリコン酸化物を含む請求項8に記載の
    方法。
  14. 【請求項14】 堆積された酸化物が上部に形成された基板を第1のチャン
    バに配置するステップと、 第2のチャンバに反応性酸化原子を生成するステップと、 前記第2のチャンバから前記第1のチャンバ内に前記反応性酸素原子を輸送し
    、前記堆積された酸化物を前記反応性酸素原子に曝露するステップとを備える堆
    積された酸化物のアニール方法。
  15. 【請求項15】 前記堆積された酸化物が、400℃よりも低い温度まで前
    記基板を加熱しながら、前記反応性酸素原子に曝露される請求項14に記載の方
    法。
  16. 【請求項16】 前記第2のチャンバが、リモートプラズマ発生器のマイク
    ロ波アプリケータキャビティである請求項14に記載の方法。
  17. 【請求項17】 前記反応性酸素原子が、O2分子からプラズマを発生させ
    ることにより形成される請求項14に記載の方法。
  18. 【請求項18】 前記反応性酸素原子が、N2O分子からプラズマを発生さ
    せることにより形成される請求項14に記載の方法。
  19. 【請求項19】 前記反応性酸素原子が、マイクロ波を利用してO2分子か
    らプラズマを発生させることにより形成される請求項14に記載の方法。
  20. 【請求項20】 前記堆積された酸化物が、シリコン酸化物である請求項1
    4に記載の方法。
  21. 【請求項21】 前記堆積された酸化物が、金属酸化物である請求項14に
    記載の方法。
  22. 【請求項22】 前記堆積された金属酸化物が、遷移金属酸化物である請求
    項21に記載の方法。
  23. 【請求項23】 前記遷移金属酸化物が、五酸化タンタル(Ta25)であ
    る請求項22に記載の方法。
  24. 【請求項24】 下部電極を形成するステップと、 堆積チャンバにおいて前記下部電極上に遷移金属誘電体を堆積させるステップ
    と、 リモートプラズマ発生チャンバのマイクロ波アプリケータキャビティにある酸
    素含有ガスからプラズマを形成することにより、反応性酸素原子を生成するステ
    ップと、 前記マイクロ波アプリケータキャビティとは別の位置にあるチャンバで、前記
    遷移金属誘電体を前記反応性酸素原子に曝露して前記遷移金属誘電体をアニール
    するステップと、 前記反応性酸素原子に曝露した遷移金属誘電体上に上部電極を形成するステッ
    プとを備えるキャパシタの形成方法。
  25. 【請求項25】 前記遷移金属誘電体が、TAETOを含むソースガスを利
    用して化学気相堆積法により堆積させた五酸化タンタル(Ta25)である請求
    項24に記載の方法。
  26. 【請求項26】 前記遷移金属誘電体が、TAT−DMAEを含むソースガ
    スを利用して化学気相堆積法により形成された五酸化タンタル(Ta25)であ
    る請求項24に記載の方法。
  27. 【請求項27】 前記五酸化タンタル誘電体層が、O2を含むソースガスを
    利用して形成される請求項25に記載の方法。
  28. 【請求項28】 前記遷移金属誘電体層が、300〜500℃の温度で堆積
    される請求項24に記載の方法。
  29. 【請求項29】 前記遷移金属誘電体が、N2Oを含むソースガスで形成さ
    れる請求項24に記載の方法。
  30. 【請求項30】 前記遷移金属誘電体が、堆積チャンバにおいてアニールさ
    れる請求項24に記載の方法。
  31. 【請求項31】 前記遷移金属誘電体膜が、400℃よりも低い温度でアニ
    ールされる請求項24に記載の方法。
  32. 【請求項32】 前記遷移金属誘電体が、前記遷移金属誘電体を堆積させた
    堆積チャンバとは異なるチャンバでアニールされる請求項24に記載の方法。
  33. 【請求項33】 堆積チャンバに基板を配置するステップと、 前記基板を堆積温度まで加熱するステップと、 前記チャンバ内に金属源を供給するステップと、 前記金属源を熱分解して金属原子を与えるステップと、 第2のチャンバに反応性酸素原子を生成するステップと、 前記反応性酸素原子を前記堆積チャンバ内に供給するステップと、 前記金属原子と前記反応性酸素原子とを組み合わせて、前記基板上に誘電体膜
    を形成するステップとを備える誘電体膜の形成方法。
  34. 【請求項34】 前記誘電体膜の前記形成ステップ中、前記反応性酸素原子
    以外の酸素源が前記堆積チャンバ内に供給されない請求項33に記載の方法。
  35. 【請求項35】 前記反応性酸素原子が、酸素ガス(O2)にマイクロ波を
    かけて形成されたプラズマから形成される請求項33に記載の方法。
  36. 【請求項36】 前記反応性酸素原子が、N2O分子にマイクロ波をかけて
    形成されたプラズマから形成される請求項33に記載の方法。
  37. 【請求項37】 窒化シリコン層が上部に形成された基板を第1のチャンバ
    に配置するステップと、 第2のチャンバに反応性窒素原子を生成するステップと、 前記第2のチャンバから前記第1のチャンバ内に前記反応性窒素原子を輸送し
    、前記窒化シリコン膜を前記反応性酸素原子に曝露するステップとを備える窒化
    シリコン膜のパッシベーション方法。
  38. 【請求項38】 前記反応性窒素原子が、N2を含むアニールガスから形成
    される請求項37に記載の方法。
  39. 【請求項39】 前記反応性窒素原子が、N2及びH2を含むアニールガスか
    ら形成される請求項38に記載の方法。
  40. 【請求項40】 シリコン表面を有する基板を第1のチャンバに配置するス
    テップと、 第2のチャンバに活性窒素原子を生成するステップと、 前記第2のチャンバから前記第1のチャンバ内に前記反応性窒素原子を輸送し
    、前記シリコン表面と前記反応性窒素原子とを反応させて、前記基板上に窒化シ
    リコン膜を形成するステップとを備える基板上に窒化シリコン膜を形成する方法
  41. 【請求項41】 前記反応性窒素原子が、N2を含むアニールされたガスか
    ら形成される請求項40に記載の方法。
  42. 【請求項42】 前記反応性窒素原子が、アンモニア(NH3)を含むアニ
    ールされたガスから形成される請求項40に記載の方法。
  43. 【請求項43】 堆積チャンバに基板を配置するステップと、 金属有機タンタル含有前駆体を前記チャンバ内に供給するステップと、 亜酸化窒素(N2O)を前記チャンバ内に供給するステップと、 前記チャンバにおいて前記金属有機タンタル含有前駆体を熱分解してタンタル
    原子を与えるステップと、 前記タンタル原子と前記亜酸化窒素(N2O)とを反応させて、前記基板上に
    五酸化タンタル(Ta25)誘電体膜を形成するステップとを備える五酸化タン
    タル誘電体膜の形成方法。
  44. 【請求項44】 前記金属有機タンタル前駆体と前記亜酸化窒素(N2O)
    を前記チャンバに供給しながら、300〜500℃の温度まで前記基板を加熱す
    るステップをさらに備える請求項43に記載の方法。
  45. 【請求項45】 前記金属有機タンタル含有前駆体が、TAT−DMAE及
    びTAETOからなる群から選択される請求項43に記載の方法。
JP2000553633A 1998-06-12 1999-06-11 誘電体層形成のための方法及び装置 Withdrawn JP2002517914A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/096,858 1998-06-12
US09/096,858 US20020009861A1 (en) 1998-06-12 1998-06-12 Method and apparatus for the formation of dielectric layers
PCT/US1999/013300 WO1999064645A1 (en) 1998-06-12 1999-06-11 A method and apparatus for the formation of dielectric layers

Publications (1)

Publication Number Publication Date
JP2002517914A true JP2002517914A (ja) 2002-06-18

Family

ID=22259427

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000553633A Withdrawn JP2002517914A (ja) 1998-06-12 1999-06-11 誘電体層形成のための方法及び装置

Country Status (5)

Country Link
US (1) US20020009861A1 (ja)
EP (1) EP1093532A1 (ja)
JP (1) JP2002517914A (ja)
KR (1) KR20010052799A (ja)
WO (1) WO1999064645A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005007927A1 (ja) * 2003-07-16 2005-01-27 Konica Minolta Holdings, Inc. 薄膜製造方法および形成された薄膜を有する基材
JP2010062576A (ja) * 2001-01-25 2010-03-18 Tokyo Electron Ltd 電子デバイス材料の製造方法
JP2012227336A (ja) * 2011-04-19 2012-11-15 Mitsubishi Electric Corp 絶縁膜の製造方法
JP2013080907A (ja) * 2011-09-22 2013-05-02 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
KR100624903B1 (ko) * 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100494322B1 (ko) * 1999-12-22 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
KR100313091B1 (ko) * 1999-12-29 2001-11-07 박종섭 반도체장치의 TaON 게이트절연막 형성방법
KR100351450B1 (ko) * 1999-12-30 2002-09-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
EP1912253A3 (en) * 2000-03-13 2009-12-30 OHMI, Tadahiro Method of forming a dielectric film
US6558517B2 (en) 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
US6833329B1 (en) * 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6686298B1 (en) * 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6660657B1 (en) 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
CN100342500C (zh) * 2000-09-19 2007-10-10 马特森技术公司 形成介电薄膜的方法
JP2002124650A (ja) * 2000-10-17 2002-04-26 Hitachi Ltd 半導体集積回路装置の製造方法
US6930041B2 (en) * 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
JP2002208592A (ja) * 2001-01-09 2002-07-26 Sharp Corp 絶縁膜の形成方法、半導体装置、製造装置
US6566147B2 (en) 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
US6528374B2 (en) * 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US20030017266A1 (en) 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US6838122B2 (en) 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US7011978B2 (en) 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
KR100431743B1 (ko) * 2001-12-19 2004-05-17 주식회사 하이닉스반도체 원자층증착법을 이용한 티타늄나이트라이드막 형성 방법및 그를 이용한 캐패시터의 제조 방법
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
KR100507860B1 (ko) * 2002-06-21 2005-08-18 주식회사 하이닉스반도체 산화저항막을 구비한 캐패시터 및 그 제조 방법
KR100575449B1 (ko) * 2004-05-10 2006-05-03 삼성전자주식회사 반도체 장치의 제조방법
US20060270166A1 (en) * 2005-05-31 2006-11-30 Liang-Gi Yao Laser spike annealing for gate dielectric materials
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2674393B1 (en) * 2012-06-12 2017-11-29 Imec Device and method for micro-stimulation for and data acquisition from biological cells
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2015133444A (ja) * 2014-01-15 2015-07-23 株式会社東芝 半導体製造装置および半導体装置の製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018049915A (ja) * 2016-09-21 2018-03-29 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
JPH03212938A (ja) * 1990-01-18 1991-09-18 Seiko Epson Corp シリコン窒化膜の形成方法
JPH0492423A (ja) * 1990-08-08 1992-03-25 Hitachi Ltd 半導体集積回路装置の製造方法
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JPH04362017A (ja) * 1991-06-06 1992-12-15 Nikko Kyodo Co Ltd 配向性Ta2O5薄膜の作製方法
KR960004483B1 (ko) * 1993-06-08 1996-04-06 제일제당주식회사 입상세제-유연제 조성물
WO1995026355A1 (en) * 1994-03-26 1995-10-05 Timothy John Leedham Tantalum compounds
KR100207467B1 (ko) * 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010062576A (ja) * 2001-01-25 2010-03-18 Tokyo Electron Ltd 電子デバイス材料の製造方法
WO2005007927A1 (ja) * 2003-07-16 2005-01-27 Konica Minolta Holdings, Inc. 薄膜製造方法および形成された薄膜を有する基材
JP2012227336A (ja) * 2011-04-19 2012-11-15 Mitsubishi Electric Corp 絶縁膜の製造方法
JP2013080907A (ja) * 2011-09-22 2013-05-02 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム

Also Published As

Publication number Publication date
WO1999064645A1 (en) 1999-12-16
US20020009861A1 (en) 2002-01-24
KR20010052799A (ko) 2001-06-25
EP1093532A1 (en) 2001-04-25

Similar Documents

Publication Publication Date Title
JP2002517914A (ja) 誘電体層形成のための方法及び装置
US6518203B2 (en) Method and apparatus for integrating a metal nitride film in a semiconductor device
US6204203B1 (en) Post deposition treatment of dielectric films for interface control
US6387761B1 (en) Anneal for enhancing the electrical characteristic of semiconductor devices
US9431237B2 (en) Post treatment methods for oxide layers on semiconductor devices
US6627508B1 (en) Method of forming capacitors containing tantalum
JP3937892B2 (ja) 薄膜形成方法および半導体装置の製造方法
US6355519B1 (en) Method for fabricating capacitor of semiconductor device
US6673668B2 (en) Method of forming capacitor of a semiconductor memory device
KR20080047322A (ko) 플라즈마 질화된 게이트 유전체의 두 단계 포스트 질화어닐링을 위한 개선된 제조 방법
JP3355236B2 (ja) 半導体メモリ装置のキャパシタ製造方法
JP2778451B2 (ja) 半導体装置の製造方法
US20040011279A1 (en) Method of manufacturing semiconductor device
US6218300B1 (en) Method and apparatus for forming a titanium doped tantalum pentaoxide dielectric layer using CVD
JP2001250929A (ja) 拡張キャパシタを形成するための統合方法及び装置
JP2001057414A (ja) 半導体メモリ素子のキャパシタ及びその製造方法
KR100305076B1 (ko) 커패시터의 전하저장전극 형성방법
US6329237B1 (en) Method of manufacturing a capacitor in a semiconductor device using a high dielectric tantalum oxide or barium strontium titanate material that is treated in an ozone plasma
KR100772531B1 (ko) 캐패시터의 제조 방법
JPH06163527A (ja) 酸化タンタル薄膜の製造方法
US6716717B2 (en) Method for fabricating capacitor of semiconductor device
KR100611386B1 (ko) 탄탈륨산화막 커패시터의 제조방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060905