JP2002043417A - 半導体装置とその製造方法 - Google Patents

半導体装置とその製造方法

Info

Publication number
JP2002043417A
JP2002043417A JP2000221202A JP2000221202A JP2002043417A JP 2002043417 A JP2002043417 A JP 2002043417A JP 2000221202 A JP2000221202 A JP 2000221202A JP 2000221202 A JP2000221202 A JP 2000221202A JP 2002043417 A JP2002043417 A JP 2002043417A
Authority
JP
Japan
Prior art keywords
insulating film
etching
film
etch stopper
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000221202A
Other languages
English (en)
Other versions
JP2002043417A5 (ja
JP4858895B2 (ja
Inventor
Kenichi Watanabe
健一 渡邉
Daisuke Komada
大輔 駒田
Fumihiko Niifuku
文彦 新福
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu VLSI Ltd
Fujitsu Ltd
Original Assignee
Fujitsu VLSI Ltd
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu VLSI Ltd, Fujitsu Ltd filed Critical Fujitsu VLSI Ltd
Priority to JP2000221202A priority Critical patent/JP4858895B2/ja
Priority to US09/735,479 priority patent/US6787907B2/en
Priority to TW089127108A priority patent/TW471045B/zh
Priority to KR1020000077907A priority patent/KR100649410B1/ko
Publication of JP2002043417A publication Critical patent/JP2002043417A/ja
Priority to US10/898,938 priority patent/US7119009B2/en
Publication of JP2002043417A5 publication Critical patent/JP2002043417A5/ja
Application granted granted Critical
Publication of JP4858895B2 publication Critical patent/JP4858895B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 下層導電層の表面を十分保護することがで
き、信頼性が高く、配線容量が小さなデュアルダマシン
配線を有する半導体装置を提供することである。 【解決手段】 半導体装置は、導電性領域を有する下地
と、下地の表面を覆う絶縁性エッチストッパ膜と、絶縁
性エッチストッパ膜上に形成された層間絶縁膜と、層間
絶縁膜表面から第1の深さで形成された配線用溝と、配
線用溝底面から導電性領域に達する接続用孔と、配線用
溝および接続用孔を埋め込んで形成されたデュアルダマ
シン配線とを有し、層間絶縁膜が配線用溝の側面および
底面を包む第1種の絶縁層と、第1種の絶縁層よりも下
に配置され、第1種の絶縁層とエッチング特性の異なる
第2種の絶縁層とを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置および
その製造方法に関し、特にデュアルダマシン配線を有す
る半導体装置およびその製造方法に関する。
【0002】本明細書において、エッチストッパとは、
あるエッチングにおいてエッチング対象物のエッチレー
トに対して1/5以下のエッチレートを示しうるものを
言う。また、あるエッチングにおいてエッチング対象物
のエッチレートに対して、約1/2〜約2のエッチレー
トを示す場合、類似のエッチレートを有すると言う。
【0003】
【従来の技術】半導体装置においては、ますます集積度
の向上が要求されている。従来の配線は、Al、Wなど
で形成していた。絶縁層上にAl配線層やW配線層を形
成した後、その上にレジストパターン等のエッチングマ
スクを形成し、配線層をパターニングし、絶縁層で埋め
込むことによって配線を形成していた。集積度の向上と
共に、配線の幅を減少し、配線間間隔を減少することが
要求される。このような微細化に伴い、配線間容量は増
加する。また、配線の断面積を減少すると、抵抗増加に
つながる。容量の増加や抵抗の増加は、配線における信
号伝達速度を低下させ、動作速度向上の障害となる。
【0004】配線抵抗低減のために、従来のAlやWに
比べ抵抗率の低いCuを用いた配線が採用されるように
なった。Cuは、エッチングによってパターニングする
ことが困難なため、Cu配線形成のためには、絶縁層表
面部に配線用溝を形成し、この配線用溝内に配線層を埋
め込み、絶縁層表面上の余分の配線層を化学機械研磨
(CMP)によって除去するダマシン配線プロセスが用
いられる。
【0005】配線層間の接続のためには、配線層間をビ
ア導電体で接続する必要がある。ダマシンプロセスとし
ては、ビア孔を形成し、ビア導電体で埋め戻した後、配
線用溝を形成し配線を埋め込むシングルダマシンプロセ
スと、ビア孔と配線用溝を作成した後、同時にビア孔と
配線用溝に配線材料を埋め戻すデュアルダマシンプロセ
スとがある。工程の簡略化の観点からは、デュアルダマ
シンプロセスが優れている。
【0006】デュアルダマシンプロセスにもビア孔を先
に形成し、その後配線溝を形成する先ビア方式と、配線
用溝を形成した後、ビア孔を形成する後ビア方式が知ら
れている。下層との接続の確実性の点からは、先ビア方
式が優れていると考えられる。
【0007】以下、図13、14を参照し、先ビア方式
のデュアルダマシンプロセスの例を説明する。
【0008】図13(A)に示すように、導電性領域1
11を有する下地110の表面上に、SiNなどの第1
エッチストッパ層112を成膜する。下地は、半導体基
板でも、その上に形成した絶縁層でもよい。導電性領域
111は、半導体領域でも、配線でもよい。導電性領域
111がCu配線である場合には、Cu配線の表面は極
めて酸化されやすいため、エッチストッパ層が必要であ
る。
【0009】第1エッチストッパ層112の上に、第1
層間絶縁膜113をシリコン酸化物などにより形成す
る。第1層間絶縁膜113の上に、配線用溝形成の際の
エッチストッパとして機能する第2エッチストッパ層1
14を成膜する。第2エッチストッパ層114の上に、
配線用溝を形成する絶縁層となる第2層間絶縁膜115
を形成し、その上にレジスト層パターニングの際の反射
防止機能を有するSiN膜等の絶縁性反射防止膜116
を形成する。
【0010】図13(B)に示すように、絶縁性反射防
止膜116の上にレジスト層を形成し、露光現像してレ
ジストパターンPR1を作成する。レジストパターンP
R1は、ビア孔に対応する開口101を有する。
【0011】レジストパターンPR1をエッチングマス
クとし、反射防止膜116、第2層間絶縁膜115、第
2エッチストッパ層114、第1層間絶縁膜113を異
方的にエッチングする。このようにして、レジストパタ
ーンPR1の開口101に対応したビア孔102が形成
される。オーバーエッチングを行なうと、第1エッチス
トッパ層112も若干エッチングされる。場合により、
第1エッチストッパ層112が消滅し、下地の導電性領
域111がダメージを受けることがある。その後レジス
トパターンPR1は除去する。
【0012】図13(C)に示すように、反射防止膜1
16上にレジスト層を形成し、露光現像して第2のレジ
ストパターンPR2を形成する。レジストパターンPR
2は、ビア孔102を含む領域に配線用溝に対応する開
口103を有する。
【0013】図13(D)に示すように、レジストパタ
ーンPR2をエッチングマスクとして用い、反射防止膜
116、第2層間絶縁膜115のエッチングを行なう。
第2エッチストッパ層114は、このエッチングに対す
るエッチストッパとして機能する。
【0014】なお、図13(D)のプロセスの際、第1
エッチストッパ層112の膜質、厚さが不充分である
と、エッチング中に第1エッチストッパ層112がエッ
チされ、下地の導電性領域111表面がダメージを受け
ることがある。
【0015】図14(E)に示すように、第2のレジス
トパターンPR2を酸素プラズマによるアッシングによ
り除去する。第1のエッチストッパ層112が十分残っ
ていない場合、このアッシング工程において酸素プラズ
マが、導電性領域111の表面にダメージを与えること
がある。
【0016】図14(F)に示すように、反射防止膜1
16、配線用溝底面に露出した第2エッチストッパ層1
14、ビア孔内に露出した第1エッチストッパ層112
を異方性エッチングで除去する。その後、デュアルダマ
シン配線160を形成する。
【0017】上述の例は、配線用溝のエッチングの際、
第2エッチストッパ層114を用い、配線用溝のエッチ
ングを第2エッチストッパ層で停止させている。従っ
て、配線用溝底面にはエッチストッパ層114が残る。
露出している第2エッチストッパ層を除去しても、デュ
アルダマシン配線160の配線部側面が第2エッチスト
ッパ層114と接触する。
【0018】エッチストッパ機能を有する絶縁層は、一
般的に誘電率が高く、配線用溝側面にエッチストッパ層
が存在すると、配線間容量の増大につながる。そこで、
配線用溝エッチング用の第2エッチストッパ層を用いな
いプロセスが提案されている。
【0019】図14(G)に示すように、下地110上
にエッチストッパ層112、層間絶縁膜113を形成し
た後、その表面に反射防止膜116を形成する。反射防
止膜116の上にレジストパターンを形成し、前述の例
と同様にエッチストッパ層112に達するビア孔102
を形成する。その後配線用溝を形成するためのレジスト
パターンPR2を形成する。
【0020】図14(H)に示すように、レジストパタ
ーンPR2をマスクとし、反射防止膜116をエッチン
グした後、第1層間絶縁膜113の所定厚さをコントロ
ールエッチングする。エッチストッパ層を用いないの
で、エッチング時間の制御によりエッチング深さを制御
する。このようにして、ビア孔102に連続した配線用
溝104を形成する。なお、エッチストッパ層を用いな
いため、ビア孔肩部がエッチングされ、ビア孔の断面積は
上方に向かって徐々に増加する形状となる。
【0021】なおこの例においても、ビア孔102のエ
ッチングや配線用溝104のエッチングの際、第1エッ
チストッパ層112がエッチングされたりすると、その
下の導電領域111がダメージを受けることがある。
【0022】このように、先ビア方式のデュアルダマシ
ンプロセスにおいては、ビア孔底部に形成されたエッチ
ストッパ層が損傷を受け、エッチストッパ層下部の導電
領域にダメージを受けることがある。
【0023】ビア孔下方の導電性領域がダメージを受け
にくくするために、ビア孔に詰め物を埋め込むプロセス
が提案されている。
【0024】図15は、配線用溝のエッチングにエッチ
ストッパ層を利用し、ビア孔に詰め物を入れるプロセス
の例を示す。導電性領域111を有する下地110の上
に、第1エッチストッパ層112、第1層間絶縁膜11
3、第2エッチストッパ層114、第2層間絶縁膜11
5、反射防止膜116が積層される。レジストパターン
を用いて第1エッチストッパ層112に達するビア孔1
02が形成される。
【0025】このビア孔102の下方部分に、エッチン
グの際保護物となる詰め物155が埋め込まれる。反射
防止膜116の上に、配線用溝形成のための開口103
を有するレジストパターンPR2が形成される。
【0026】図15(B)に示すように、レジストパタ
ーンPR2をエッチングマスクとし、反射防止膜11
6.第2層間絶縁膜115を異方的にエッチングする。
ビア孔102下方の第1エッチストッパ層112は詰め
物155で覆われているため、エッチングから保護され
ている。
【0027】図15(C)に示すように、レジストパタ
ーンPR2をアッシングで除去する。詰め物155が有
機物で形成してある場合、アッシングで同時に除去する
ことが出来る。なお、詰め物155とレジストパターン
PR2を別々の除去工程で除去することも可能である。
【0028】第1エッチストッパ層112は、配線用溝
のエッチングから保護されているため、アッシングを受
けてもその下の導電性領域111がダメージを受けるこ
とが少ない。
【0029】図15(D)に示すように、第2層間絶縁
膜115上の反射防止膜116、配線用溝底面に露出し
た第2エッチストッパ層114、ビア孔内に露出した第
1エッチストッパ層112をエッチングで除去する。こ
のようにして、配線用溝、ビア孔が下地中の導電性領域
111と接続された状態で形成される。
【0030】図15(E)に示すように、配線層を形成
し、第2層間絶縁膜115表面上の部分をCMPで除去
することにより、ビア孔および配線用溝を埋め込むデュ
アルダマシン配線160が形成される。
【0031】
【発明が解決しようとする課題】以上説明したように、
従来のデュアルダマシン工程によれば、デュアルダマシ
ン配線の下に配置される導電性領域の表面を十分保護
し、信頼性の高い配線構造を形成することが必ずしも容
易でなかった。
【0032】本発明の目的は、下層導電層の表面を十分
保護することができ、信頼性の高いデュアルダマシン配
線を有する半導体装置の製造方法を提供することであ
る。
【0033】本発明の他の目的は、このようなデュアル
ダマシンプロセスを用いるのに適した構造を有する半導
体装置を提供することである。
【0034】
【課題を解決するための手段】本発明の1観点によれ
ば、表面に導電性領域を有する下地と、前記下地の表面
を覆う絶縁性エッチストッパ膜と、前記絶縁性エッチス
トッパ膜上に形成された層間絶縁膜と、前記層間絶縁膜
表面から第1の深さで形成された配線用溝と、前記配線
用溝底面から、前記層間絶縁膜の残りの厚さおよび前記
絶縁性エッチストッパ膜を貫通し、前記導電性領域に達
する接続用孔と、前記配線用溝および前記接続用孔を埋
め込んで形成されたデュアルダマシン配線と、を有し、
前記層間絶縁膜が前記配線用溝の側面および底面を包む
第1種の絶縁層と、前記第1種の絶縁層よりも下に配置
され、第1種の絶縁層とエッチング特性の異なる第2種
の絶縁層とを含む半導体装置が提供される。
【0035】本発明の他の観点によれば、表面に導電性
領域を有する下地上に絶縁性エッチストッパ膜を形成す
る工程と、前記絶縁性エッチストッパ膜上に、第1種の
絶縁膜とその下に配置され、第1種の絶縁膜とエッチン
グ特性の異なる第2種の絶縁膜とを含む層間絶縁膜を形
成する工程と、前記層間絶縁膜の表面から、前記層間絶
縁膜を貫通し、前記絶縁性エッチストッパ膜に達する接
続用孔を形成する工程と、前記接続孔内に、前記第2種
の絶縁膜の表面より下の高さまで有機物の保護詰物を形
成する工程と、前記接続孔と重複させ、前記層間絶縁膜
表面から第1種の絶縁膜中第1の深さまで配線用溝を形
成する工程と、前記保護詰物を除去する工程と、前記配
線用溝および前記接続用孔を埋め込んでデュアルダマシ
ン配線を形成する工程と、を有する半導体装置の製造方
法が提供される。
【0036】
【発明の実施の形態】本発明者らは従来技術の問題点に
ついて、より詳細に考察した。図15に示すプロセスに
おいて、第1エッチストッパ層112を十分保護しよう
とすると、詰め物155を厚く形成する必要がある。と
ころが、ビア孔102の高さを制限しようとすると、詰
め物155の高さも制限されることになる。
【0037】詰物155の高さを低くすると、配線用溝
のエッチングの際、詰め物が無くなり、ビア孔底面に露
出した第1エッチストッパ層112がダメージを受ける
ことがある。第1エッチストッパ層がダメージを受けな
いように詰め物155の高さを高くすると、配線用溝エ
ッチングの際に詰め物155が第2エッチストッパ層1
14よりも上に突出し、シャドーイングと呼ばれる現象
が生じる。
【0038】このシャドーイングが生じると、詰め物1
55の側壁部にエッチング残さが残る。ビア開口部やそ
の周辺の配線用溝にエッチング残さが残ると、その後の
Cuなどの金属埋め込み工程で不良が発生し易くなる。
【0039】図16は、配線用溝底面にエッチストッパ
層を配置しない場合の詰め物を用いたデュアルダマシン
プロセスの例を示す。
【0040】図16(A)に示すように、導電性領域1
11を有する下地110の上に、エッチストッパ層11
2、層間絶縁膜113、反射防止膜116が積層されて
いる。レジストパターンを用いてビア孔102を形成し
た後、ビア孔下部に詰め物155を形成する。その後反
射防止膜116表面上に配線用溝形成用のレジストパタ
ーンPR2を形成する。
【0041】図16(B)に示すように、レジストパタ
ーンPR2をエッチングマスクとし、反射防止膜11
6、層間絶縁膜113の部分的エッチングを行なう。こ
の際、ビア孔下部には詰め物155が形成されており、
その下のエッチストッパ層112は、エッチングから保
護されている。
【0042】しかし、詰め物155は、その周囲の層間
絶縁膜113とはエッチング特性が異なる。このため、
詰め物155がマスクとなり、シャドーイングと呼ばれ
る現象が生じる。すなわち、詰め物155の側部に深い
切れ込みが形成され易い。また、切れ込みは詰め物15
5の側壁から離れていくように形成され、詰め物155
周囲の層間絶縁膜には、鋭い突出部が形成される。この
現象を、以下異常エッチングと呼ぶことがある。
【0043】図16(C)に示すように、配線用溝をエ
ッチングした後、レジストパターンPR2をアッシング
により除去する。詰め物155が有機物で形成されてい
る場合は、アッシングにより詰め物155も同時に除去
される。なお、ビア孔上部には、シャドーイングにより
生じた突出部や深い切れ込み部が形成されている。
【0044】図16(D)に示すように、層間絶縁膜1
13表面上の反射防止膜116およびビア孔内に露出し
たエッチストッパ層112のエッチングを行なう。
【0045】図16(E)に示すように、配線用溝およ
びビア孔内にデュアルダマシン配線160の埋め込みを
行なう。しかしながら、ビア孔周辺に鋭い突出部や深い
切れ込みが形成されているため、配線160形成の際
に、ボイドが発生し易い。ボイドが生じると、下層配線
111と上層配線160の電気的接続が不充分となり易
い。
【0046】本発明者らは、なぜ図16に示すような異
常エッチングや下地導電体のダメージが生じるかを考察
した。考察の内容を図17、図18、図19を参照して
説明する。
【0047】図17(A)に示すように、導電性領域1
11を有する下地110の表面上に、エッチストッパ層
112、層間絶縁膜113を形成した後、反射防止膜1
16を積層する。反射防止膜116の上にレジストマス
クを形成し、ビア孔をエッチストッパ層112表面まで
形成する。その後、ビア孔形成に用いたレジストパター
ンを除去し、配線溝形成用のレジストパターンPR2を
作成する。その後、ビア孔内に詰め物155を形成す
る。ここで、図17(A)においては詰め物155を高
さ600nm形成する。
【0048】図18(A)においては、詰め物155を
高さ400nm形成する。又、図19(A)において
は、詰め物155を高さ200nm形成する。その他の
条件は、図17(A)と同様である。このように、詰め
物の高さが異なる場合、配線用溝をエッチングして行く
工程において、どのような変化が現れるかを考察する。
【0049】図17(B),図18(B)、図19
(B)は、それぞれ配線用溝を形成するため、層間絶縁
膜113を深さ400nmエッチングした状態を示す。
層間絶縁膜113のエッチング共に、詰め物155もエ
ッチされるが、ビア孔内の詰め物155は残っている。
ビア孔の上縁部(肩部)は、斜めにエッチングされる。
【0050】図17(C)、図18(C)、図19
(C)は、配線用溝を深さ600nmエッチングした状
態を示す。詰め物155が200nm形成されていた図
19(C)の場合、配線溝のエッチングにより詰め物1
55が消滅している。従って、さらにエッチングを進め
るとビア孔下のエッチストッパ層112がエッチングの
影響を受ける。
【0051】図17(D)、図18(D)、図19
(D)は、配線用溝を深さ800nmエッチした状態を
示す。図19(D)においては、エッチストッパ層11
2がエッチされ、さらに下地内の導電性領域111がエ
ッチされてしまう。従って、このような状態でデュアル
ダマシン配線を形成しても、配線の電気的特性は保証さ
れず、信頼性の低い配線となってしまう。
【0052】図17(D)においては、詰め物155は
十分残っているが、詰め物155の表面がエッチングさ
れた肩部のエッチ表面よりも上に突出する形状となり、
異常エッチングが発生している。
【0053】図18(D)においては、エッチングされ
た肩の領域が詰め物155表面に達し、エッチング残さ
が生じる状態となっている。現在は異常エッチングが生
じていなくてもやがて異常エッチングが生じる状態であ
る。
【0054】ここで定量的考察を行なう。図17
(A),(C)に示すように、層間絶縁膜113の厚さ
をh、詰め物155の高さをz、溝エッチングの深さを
y、ビア孔肩部の最大深さをx、詰め物155の残り高
さをz‘とする。詰め物の膜減り量はΔz=z−z’で
ある。層間絶縁膜のエッチレートに対する詰め物のエッ
チレートの比をbとする。
【0055】詰め物の膜減り量は、Δz=y/bと表せ
る。従って、z‘=z−Δz=z−(y/b)となる。
肩部のエッチング深さxを、x={1+(1/1.
4)}yとする。すると、エッチング残さがでない条件
は、h−x=h−{1+(1/1.4)}y>z’=z
−(y/b)となる。ビア底を保護するために必要な詰
め物の高さは、z>(y/b)である。エッチング深さ
yを大きくすると、zも大きくしなくてはならないが、
zを大きくするとエッチング残さがでやすくなる。
【0056】このように、層間絶縁膜中にエッチストッ
パ層を設けないコントロールエッチングにおいては、配
線用溝のエッチング深さが深くなる程異常エッチングが
生じ易くなる。詰め物の高さを低くすれば、異常エッチ
ングは生じないが、エッチストッパ層がダメージを受
け、さらに下地の導電性領域がダメージを受ける危険性
が高くなる。厚い配線を形成するために深い配線溝を形
成する時が問題である。
【0057】図17、18、19に示した例において
は、エッチング深さ400nmまでは障害が生じなくて
も、エッチング深さ800nmでは良好な結果を得るこ
とができない。一般的に500nm以上深い溝エッチン
グを行なおうとすると問題が生じる。
【0058】以下、図面を参照して本発明の実施例を説
明する。
【0059】図1(A)に示すように、導電性領域11
を有する下地10の上に、SiN等で形成された第1エ
ッチストッパ層12、弗素含有シリコン酸化物(FS
G)等で形成された第1層間絶縁膜13、窒化シリコン
(SiN)等で形成された第2エッチストッパ層14、
弗素含有酸化物等で形成された第2層間絶縁膜15、S
iN等で形成された絶縁性反射防止膜16を積層する。
【0060】FSGは、通常の酸化シリコンより低い誘
電率を有する。弗素の含有量等により、誘電率を可変制
御することもできる。窒化シリコンは、酸化シリコンの
エッチングに対して極めて低いエッチレートとすること
ができ、エッチストッパとすることができるが、誘電率
は酸化シリコンの誘電率よりも高い。
【0061】これらの積層は、化学気相堆積(CVD)
によって形成することができる。第1エッチストッパ層
12、第2エッチストッパ層14は、例えばSiN膜に
よって形成する。第1層間絶縁膜13は、例えば弗素含
有シリコン酸化物によって形成する。第2層間絶縁膜1
5は、例えば第1層間絶縁膜13よりも厚い弗素含有シ
リコン酸化物によって形成する。反射防止膜16は、例
えばSiN膜によって形成する。
【0062】この積層構造は、図15(A)に示したも
のと同様であるが、図15(A)と較べると第2エッチ
ストッパ層14がより下地10に近い位置に配置されて
いる。すなわち、第1層間絶縁膜13が薄く、第2層間
絶縁膜15が厚く形成されている。配線用溝は、第2層
間絶縁膜15の上部にコントロールエッチングで形成さ
れる。
【0063】反射防止膜16上にレジストパターンを形
成し、第1エッチストッパ層12に達するビア孔HPを
形成する。その後レジストパターンは除去し、ビア孔H
Pの下部に有機物の保護詰め物55を形成する。保護詰
め物55は、例えば感光材を除去したレジスト材料で形
成する。詰め物55の高さは、現像液による詰め物の除
去を時間制御することにより行なうことが出来る。詰め
物55の上面は、第2エッチストッパ層14の上面より
も上に位置されないことが好ましい。
【0064】反射防止膜16の上に、配線用溝の形状を
有する開口WAを形成したレジストパターンPR2を形
成する。
【0065】図1(B)に示すように、開口WAを有す
るレジストパターンPR2をマスクとし、反射防止膜1
6をエッチングした後、第2層間絶縁膜15のコントロ
ールエッチングを行なう。エッチャントガスとしては、
例えば、CFを含むガスとO 2を含むガスとの混合ガス
を用いる。
【0066】エッチング深さは、第2層間絶縁膜15の
中間までの深さに選択する。このようにして、第2層間
絶縁膜15に、配線用溝WGが形成される。配線用溝W
Gの側面および底面は、誘電率の低い第2層間絶縁膜1
5で画定されており、第2エッチストッパ層14は配線
用溝底面より下方に配置されている。
【0067】第2エッチストッパ層14は、配線用溝の
エッチングにおいてはエッチストッパ層として機能して
いない。しかし、ビア孔HP内の詰物55の周囲を囲
み、肩部のエッチングを抑制し、異常エッチングの発生
を防止している。
【0068】配線用溝のエッチングの後、アッシングを
行なう。
【0069】図1(C)に示すように、アッシングによ
ってレジストパターンPR2および有機物の保護詰め物
55が除去される。
【0070】図1(D)に示すように、例えばCHF3
+O2をエッチングガスとして用い、反射防止膜16お
よび第1エッチストッパ層12のシリコン窒化膜をエッ
チングする。
【0071】図1(E)に示すように、配線用溝および
ビア孔内にデュアルダマシン配線60を形成する。デュ
アルダマシン配線60は、例えばTaNをスパッタして
バリア層を形成した後、Cuのシード層、Cuの主配線
層を形成する。Cu層の形成は、例えばメッキにより行
なうことができる。第2層間絶縁膜15上面上に堆積し
たバリア層、シード層、主配線層は、CMP等により除
去する。
【0072】本実施例によれば、詰め物55の上面は、
SiNで形成された第2エッチストッパ層14の上面以
下の高さに位置しているため、配線用溝のエッチングに
おいてビア孔周囲の異常エッチングが抑制される。この
ため、配線用溝に連続するビア孔の形状が滑らかとな
り、バリア層が配線溝、ビア孔の内面に良く付着し、そ
の後のバリア層形成、主配線層形成を良好に行なうこと
ができる。
【0073】第2エッチストッパ層14は、比較的高い
誘電率を有するが、この第2エッチストッパ層14は主
配線層よりも下に位置している。従って、配線間容量の
増大は抑制される。ビア孔は基板面内でわずかに分布す
るのみであり、第2エッチストッパ層が配線溝側壁と接
する場合と較べ、付随容量に与える影響は小さい。
【0074】なお、図1(D)に示す第1エッチストッ
パ層12のエッチング工程において、第2層間絶縁膜が
エッチングされることもある。
【0075】図1(F)は、図1(D)に示す第1エッ
チストッパ層12のエッチング工程において配線用溝底
面の第2層間絶縁膜15がエッチングされ、第2エッチ
ストッパ層14が露出された場合を示す。第2エッチス
トッパ層14が露出することにより、配線の付随容量は
若干増加する。しかし、導電性領域11表面のダメージ
を防止し、かつ異常エッチングを防止する効果は保たれ
る。
【0076】なお、第2のエッチストッパ層14をどの
高さに形成するのが好ましいかを以下補足的に説明す
る。第2のエッチストッパ層14を省略した状態の層間
絶縁膜の厚さを例えば1500nmとする。この層間絶
縁膜に配線用溝として深さ800nmの溝を形成する場
合を考察する。保護用詰め物の高さは600nmとす
る。
【0077】図20(AA)は、第2のエッチストッパ
層14を用いないで深さ800nmの溝を形成した場合
の断面構造を概略的に示す。ビア孔近傍において肩部の
エッチングが進み、詰め物55の周囲に異常エッチング
が生じている。
【0078】図20(BA)は、レジストパターンPR
2及び詰め物55を除去した状態を示す。層間絶縁膜は
ビア孔周辺において鋭い突起と切れ込みを有し、その後
のデュアルダマシン配線の形成を困難にする。
【0079】図20(AB)は、エッチストッパ層を層
間絶縁膜の下から200nmの位置に配置した場合を示
す。この場合にも、ビア孔周辺の肩部のエッチングは進
行し、詰め物55の表面がエッチング表面よりも上に突
出し、その周囲で異常エッチングが生じている。
【0080】図20(BB)は、レジストパターンPR
2を除去した状態を示す。ビア周辺の層間絶縁膜は鋭い
突起と深い切れ込みを有している。
【0081】図20(AC)、(BC)は、第2のエッ
チストッパ層14を層間絶縁膜の底面から高さ約400
nmの位置に配置した場合を示す。肩部のエッチング
は、第2のエッチストッパ層14でストップされ、ビア
孔の下部に詰め物55が残留している。
【0082】図20(BC)に示すように、レジストパ
ターンPR2を除去すると、ビア孔周辺で緩やかな傾き
の肩部を有するデュアルダマシン配線溝が形成されてい
る。
【0083】図20(AD)、(BD)は、第2のエッ
チストッパ層14を層間絶縁膜底面から高さ約600n
mの位置に配置した場合を示す。ビア孔周辺の肩部のエ
ッチングが進行し、第2のエッチストッパ層14が露出
すると、肩部のエッチングはそれ以後ほぼ進行しない状
態となる。
【0084】図20(BD)に示すように、レジストパ
ターンPR2を除去すると、ほぼ平坦な平面を有する配
線用溝と周囲に異常エッチングが生じていないビア孔が
得られる。このように、ビア孔周辺で肩部のエッチング
が進行し、エッチストッパ層が露出した時点で詰め物の
上面の高さが肩部の最も低い位置よりもさらに下部に配
置するようにすれば、異常エッチングを効率的に防止
し、良好な形状を得ることができる。
【0085】図1の実施例においては、層間絶縁膜を3
層の積層構造で形成した。層間絶縁膜の構成をより簡略
化することもできる。
【0086】図2は、層間絶縁膜を2層の積層構造で形
成する場合を示す。図2(A)に示すように、導電性領
域11を有する下地10の上に、エッチストッパ層1
2、プラズマSiO2等で形成された第1層間絶縁膜5
6、弗素含有シリコン酸化物等で形成された第2層間絶
縁膜15、SiN等で形成された反射防止膜16を積層
する。
【0087】第1層間絶縁膜56、第2層間絶縁膜15
は、類似のエッチレートを有するが、第1層間絶縁膜の
エッチレートは低く、第2層間絶縁膜のエッチレートは
高い。
【0088】第2層間絶縁膜15の厚さは、その後形成
する配線用溝の深さよりも厚く選ぶ。また、第2エッチ
ストッパ層が存在しないので、第1層間絶縁膜56は厚
めに形成することが好ましい。たとえば、第2層間絶縁
膜15よりも第1層間絶縁膜56を厚くする。
【0089】図1の実施例と同様、反射防止膜16の上
にレジストパターンを形成し、反射防止膜16、第2層
間絶縁膜15、第1層間絶縁膜56の異方性エッチング
を行ない、ビア孔HPを形成する。その後レジストパタ
ーンは除去し、ビア孔HPの底部に有機化合物の保護詰
め物55を形成する。保護詰め物55は、第1の実施例
と同様であり、第1層間絶縁膜56表面よりも低い高さ
まで形成する。第1層間絶縁膜56、第2層間絶縁膜1
5は、類似のエッチレートを有する。
【0090】反射防止膜16の上に、配線用溝のパター
ンに対応する開口WAを有するレジストパターンPR2
を形成する。
【0091】図2(B)に示すように、開口WAを有す
るレジストパターンPR2をエッチングマスクとし、反
射防止膜16、第2層間絶縁膜15のエッチングを行な
う。第2層間絶縁膜15のエッチングは、コントロール
エッチングとし、時間制御によりエッチ深さを制御す
る。第2層間絶縁膜15の一部厚さが残った状態でエッ
チングを停止させる。このようにして、第2層間絶縁膜
15に配線用溝WGが形成される。
【0092】保護詰め物55は、第2層間絶縁膜15よ
りもエッチングレートの低い第1層間絶縁膜56に囲ま
れているため、配線用溝のエッチング時に保護詰め物5
5周囲に異常エッチングのおこる可能性は少ない。
【0093】図2(C)に示すように、レジストパター
ンPR2、保護詰め物55をアッシングにより除去す
る。
【0094】図2(D)に示すように、第2層間絶縁膜
15上面上の反射防止膜16、ビア孔底部のエッチスト
ッパ層12のSiN膜をエッチングにより除去する。
【0095】図2(E)に示すように、配線用溝および
ビア孔内にデュアルダマシン配線60を形成する。これ
らの工程は、第1の実施例と同様である。
【0096】図2に示した実施例において、第1層間絶
縁膜56、第2層間絶縁膜15の厚さをどのように選べ
ば良いかをより具体的に説明する。第2層間絶縁膜、第
1層間絶縁膜の和である層間絶縁膜の高さを1500n
mとし、配線用溝の深さを800nmとする。又、ビア
孔内への保護用詰め物の高さを約500nmとする。
【0097】図21(AA)、(BA)は、1層の層間
絶縁膜15で層間絶縁膜を形成した場合を示す。この場
合、ビア孔周辺のエッチングが進行し、詰め物55の周
辺に異常エッチングが生じてしまう。レジストパターン
PR2を除去した状態では、図21(BA)に示すよう
に、ビア孔周辺に鋭い突起と深い切れ込みが生じてい
る。
【0098】図21(AB)、(BB)は、下方に配置
する第1層間絶縁膜56の厚さを約200nm(第2層
間絶縁膜15の厚さは1300nm)とした場合を示
す。この場合、ビア孔周辺の肩部のエッチングが進行
し、第1層間絶縁膜56が露出する時点で異常エッチン
グが発生している。
【0099】図21(AC)は、第1層間絶縁膜56の
高さを約400nmとした場合を示す。ビア孔周辺の肩
部のエッチングが進行し、第1層間絶縁膜55が露出す
ると、その後肩部のエッチングの進行は緩やかになる。
エッチング終了後、レジストパターンPR2を除去した
状態では、図21(BC)に示すように、第1層間絶縁
膜55の主要部分ではほぼ垂直な側壁を有し、上部で緩
やかな傾きの肩部を有するビア孔が得られる。
【0100】図21(AC)、(BD)は、第1層間絶
縁膜55の高さを約600nmとした場合を示す。この
場合には、図21(AC)よりも早いタイミングで第1
層間絶縁膜55が露出し、その後第1層間絶縁膜44の
エッチングは緩やかに進行するため、肩部のエッチング
量はより小さくなる。図21(BD)に示すように、レ
ジストパターンPR2を除去した状態では、ほぼ垂直な
側壁を有するビア孔主要部とその上部においてわずかに
傾斜する肩部を有するデュアルダマシン配線用溝が得ら
れる。
【0101】このように、詰め物55の表面は、エッチ
ングを抑制する層の上表面よりも下の位置に配置されて
いる場合に良好なエッチング形状を実現することが可能
となる。
【0102】本実施例においては、誘電率の高いSiN
などの第2エッチストッパ層を用いないため、配線間容
量を低減すると共に、ビア孔間の容量増大も抑制するこ
とができる。
【0103】図2(F)は、図2(D)に示す第1エッ
チストッパ層12のエッチング工程において、配線用溝
底面の第2層間絶縁膜15がエッチングされ、第1層間
絶縁膜56が露出した場合を示す。配線用溝がさらに第
1層間絶縁膜中に入り込む場合もある。第1層間絶縁膜
56が露出することにより、配線の付随容量は若干増加
する。しかし、導電性領域11表面のダメージを防止
し、かつ異常エッチングを防止する効果は保たれる。
【0104】第2の実施例においては、下部層間絶縁膜
をプラズマSiO2膜で形成した。プラズマSiO2
は、エッチレートが低いが、誘電率はSiNより低いも
のの、余り低くない。上下配線層間の容量をさらに低減
するためには、誘電率の更に低い材料を使用することが
望まれる。
【0105】図3は、異常エッチング防止用のプラズマ
SiO2膜の厚さを制限し、その上下を弗素含有シリコ
ン酸化膜で挟んだ層間絶縁膜を用いる構成を示す。図3
(A)に示すように、導電性領域11を有する下地10
の上に、SiN等で形成されたエッチストップ層12.
弗素含有シリコン酸化膜で形成された第1層間絶縁膜1
3、プラズマSiO2膜で形成されたエッチング抑制絶
縁層54、弗素含有シリコン酸化膜で形成された第2層
間絶縁膜15、SiN等で形成された反射防止膜16を
積層する。
【0106】第1層間絶縁膜13、第2層間絶縁膜1
5、エッチング抑制絶縁膜54は、保護詰め物と類似の
エッチレートを有するが、第1層間絶縁膜13、第2層
間絶縁膜15のエッチレートは高く、エッチング抑制絶
縁膜54のエッチレートは低い。
【0107】図3(A)の構成は、図2(A)の構成に
おける第1層間絶縁膜56を、第1層間絶縁膜13とエ
ッチング抑制絶縁膜54との積層で置き換えた構成に対
応する。
【0108】反射防止膜16上にレジストパターンを形
成し、ビア孔HPを形成する。その後レジストパターン
を除去し、ビア孔HP下部に有機化合物の保護詰め物5
5を形成する。保護詰め物55の上面は、エッチング抑
制絶縁膜54の上面よりも上に出ず、かつエッチング抑
制絶縁膜54に取り囲まれるように配置する。
【0109】反射防止膜16表面上に、配線溝形成用開
口WAを有するレジストパターンPR2を形成する。
【0110】図3(B)に示すように、レジストパター
ンPR2をエッチングマスクとし、反射防止膜16をエ
ッチングした後、第2層間絶縁膜15のコントロールエ
ッチングを行う。第2層間絶縁膜15のコントロールエ
ッチングは、第2層間絶縁膜の一部厚さが残るように設
定する。
【0111】この時、ビア孔周囲の肩部において、エッ
チングが進行するが、その下にエッチレートの低い絶縁
層54が配置されているため、肩部分のエッチングは絶
縁層54で抑制され、保護詰め物55周囲の異常エッチ
ングは抑制される。
【0112】図3(C)に示すように、レジストパター
ンPR2、保護詰め物55をアッシングにより除去す
る。
【0113】図3(D)に示すように、第2層間絶縁膜
15表面上の反射防止膜16、ビア孔底部のエッチスト
ッパ層12をエッチング除去する。このようにして、異
常エッチングを抑制しつつ、配線用溝およびビア孔を形
成することができる。
【0114】図3(E)に示すように、配線用溝および
ビア孔内にデュアルダマシン配線60を形成する。この
工程は、上述の実施例と同様である。
【0115】図3(F)は、図3(D)に示す第1エッ
チストッパ層12のエッチング工程において、配線用溝
底面の第2層間絶縁膜15がエッチングされ、エッチン
グ抑制絶縁層54が露出した場合を示す。配線用溝がさ
らにエッチング抑制絶縁層中に入り込む場合もある。エ
ッチング抑制絶縁層54が露出することにより、配線の
付随容量は若干増加する。しかし、導電性領域11表面
のダメージを防止し、かつ異常エッチングを防止する効
果は保たれる。
【0116】上述の実施例においては、下地導電領域表
面のダメージを防止するために、ビア孔の下部に詰め物
を設けた。以下、詰め物を使用せずにビア孔下方の導電
性領域表面をダメージから保護する実施例を説明する。
【0117】図4および図5は、本発明の他の実施例に
よる半導体装置の製造方法を示す。
【0118】図4(A)に示すように、銅配線などの導
電性領域11を有する下地10の表面上に、第1エッチ
ストッパ層12、第1層間絶縁膜13、第2エッチスト
ッパ層14、第2層間絶縁膜15、反射防止膜16の積
層を形成する。これらの積層は、化学気相堆積(CV
D)によって形成することができる。
【0119】第1エッチストッパ層12、第2エッチス
トッパ層14は、例えば厚さ約50nmのSiN膜によ
って形成する。第1層間絶縁膜13は、例えば厚さ30
0nmの弗素含有シリコン酸化物によって形成する。第
2層間絶縁膜15は、第1層間絶縁膜13よりも厚い、
例えば厚さ900nmの弗素含有シリコン酸化物によっ
て形成する。反射防止膜16は、例えば厚さ50nmの
SiN膜によって形成する。反射防止膜16の表面上に
レジスト膜を塗布し、露光、現像することによってビア
孔用の開口HAを有するレジストパターンPR1を形成
する。
【0120】図4(B)に示すように、レジストパター
ンPR1をエッチングマスクとし、反射防止膜16、第
2層間絶縁膜15、第2エッチストッパ層14をエッチ
ングする。このエッチングにおいて、SiN膜16、1
4に対しては弗素を含有するガスをエッチャントとして
用い、弗素含有シリコン酸化物で形成された第2層間絶
縁膜に対しては例えばCFを含むガスとO2を含むガス
の混合ガスをエッチャントして用いる。このエッチング
により形成されたビア孔HPの下部には、第1層間絶縁
膜13が露出する。
【0121】図4(C)に示すように、アッシングによ
りレジストパターンPR1を除去する。なお、図4
(B)、(C)の工程において、下地導電領域11は、
第1エッチストッパ層12、第1層間絶縁膜13で覆わ
れているため、エッチングおよびアッシングによりダメ
ージを受けることから防止されている。
【0122】図4(D)に示すように、反射防止膜16
上にレジスト層を塗布し、露光、現像することにより配
線用開口WAを有するレジストパターンPR2を形成す
る。
【0123】図5(E)に示すように、レジストパター
ンPR2をエッチングマスクとし、反射防止膜16をエ
ッチングした後、第2層間絶縁膜15のコントロールエ
ッチングを行なう。第2層間絶縁膜15のエッチング深
さd1は、第1層間絶縁膜13の厚さd2よりも大きな
値とする。
【0124】このように設定することにより、配線用溝
WGをエッチングする間に、ビア孔下方の第1層間絶縁
膜13は完全にエッチングされ、第1エッチストッパ層
12が露出する。第1エッチストッパ層12のエッチレ
ートは、第2層間絶縁膜15のエッチレートよりも十分
低くすることができ、配線用溝のエッチングによっても
第1エッチストッパ12が充分な厚さで残っており、そ
の下の導電性領域がダメージを受けることは容易に防止
される。
【0125】図5(F)に示すように、アッシングによ
りレジストパターンPR2を除去する。このアッシング
においても、下地10内の導電性領域11表面は、第1
エッチストッパ層12によって覆われており、アッシン
グよりダメージを受けることから防止される。
【0126】図5(G)に示すように、第2層間絶縁膜
15上の反射防止膜16およびビア孔内に露出した第1
エッチストッパ層12をエッチングで除去する。第1エ
ッチストッパ層12が除去され、導電性領域11を露出
するビア孔HPAが形成される。
【0127】図5(H)に示すように、配線用溝WGお
よびビア孔HPA内面上にバリア層19、主配線層20
を埋め込んでデュアルダマシン配線を形成する。なお、
第2層間絶縁膜15上に堆積したバリア層、主配線層
は、CMPなどによって除去する。
【0128】本実施例においては、図4(B)で作成す
るビア孔HPは、導電性領域11表面を覆うエッチスト
ッパ層12まで到達せず、その上に形成された第1層間
絶縁膜13表面で留まっている。このため、その後行な
われる配線溝形成用エッチングにおいて、第1エッチス
トッパ層12が充分な厚さで残り、導電性領域がダメー
ジを受けることが容易に防止される。
【0129】なお、第1層間絶縁膜13の厚さは、配線
用溝形成用のエッチングにおいて完全にエッチされる厚
さに選択する。例えば、配線用溝WGの第2層間絶縁膜
内における深さd1を500nmとし、第1層間絶縁膜
13の厚さd2を300nmとする。
【0130】第2層間絶縁膜に配線溝WGを形成するエ
ッチングのエッチレート比を層間絶縁膜13、15:エ
ッチストッパ層12=12:1に選択する場合、第1層
間絶縁膜13がエッチされた段階で配線用溝は約300
nmエッチされている。残り200nmのエッチングを
行なう際、第1エッチストッパ層12は200/12=
16.6nmエッチングされることになる。第1エッチ
ストッパ層12は、厚さ約50nm形成されているた
め、第1エッチストッパ層12は充分な厚さ残り、導電
性領域がダメージを受けることは容易に防止される。
【0131】又、先に形成したビア孔HPには、詰め物
が設けられておらず、配線用溝のエッチングにおいてビ
ア孔周辺に異常エッチングが生じることが防止される。
【0132】図4、図5に示した実施例においては、層
間絶縁膜中にエッチストッパ層を配置した構成を用い
た。必ずしもエッチストッパ層を用いなくても、同様の
効果を上げることが可能である。
【0133】図6は、本発明の他の実施例による半導体
装置の製造方法を示す断面図である。
【0134】図6(A)に示すように、下地10表面上
に第1エッチストッパ層12を形成した後、プラズマS
iO2膜17を厚さ約200nm形成する。このプラズ
マSiO2層17の上に、弗素含有シリコン酸化物で形
成された第2層間絶縁膜15を厚さ約1000nm形成
する。第2層間絶縁膜15上には、反射防止膜16を厚
さ約50nm形成する。
【0135】この構成においては、図4(A)に示す構
成における第1層間絶縁膜13と第2エッチストッパ層
14との積層がプラズマSiO2膜で形成された第1層
間絶縁膜17に置換された構成となっている。
【0136】ビア孔形成用開口HAを有するレジストパ
ターンPR1を反射防止膜16上に形成し、反射防止膜
16、第2層間絶縁膜15のエッチングを行なう。この
エッチングにおいては、エッチストッパ層が存在しない
ため、第1層間絶縁膜17表面は若干オーバーエッチさ
れる。
【0137】第1層間絶縁膜のエッチレートを、第2層
間絶縁膜のエッチレートよりも低い値に設定することに
より、オーバーエッチ量は抑制される。例えば、第2層
間絶縁膜15をCFを含むガス、O2を含むガスの混合
ガスをエッチャントしてエッチングする場合、第2層間
絶縁膜15と第1層間絶縁膜17に対するエッチレート
は、第2層間絶縁膜:第1層間絶縁膜=2:1に設定す
ることができる。
【0138】第2層間絶縁膜15に対するエッチングに
おいて、約150nm相当のオーバーエッチを行なった
場合、第1層間絶縁膜17の表面は深さ約75nmエッ
チされることになる。この場合、第1層間絶縁膜17
は、約125nmの厚さ残る。従って、第1エッチスト
ッパ層12は全くエッチングされず、その下に配置され
た導電性領域11がダメージを受けることはほぼ完璧に
防止される。
【0139】ビア孔HPの形成後、レジストパターンP
R1はアッシングで除去する。このアッシングにおいて
も、下地10内の導電性領域11がダメージを受けるこ
とはほぼ完璧に防止される。
【0140】図6(B)に示すように、反射防止膜16
上に配線用溝をエッチングするための開口WAを有する
レジストパターンPR2を形成する。
【0141】図6(C)に示すように、レジストパター
ンPR2をエッチングマスクとし、第2層間絶縁膜15
に配線用溝WGを形成すると共に、ビア孔底面下の第1
層間絶縁膜17を除去するエッチングを行なう。このエ
ッチングは、第1層間絶縁膜17を完全に除去した後、
オーバーエッチングが行なわれるように設定する。
【0142】すなわち、第1層間絶縁膜17の厚さd3
は、第2層間絶縁膜15中に深さd1の配線用溝WGを
エッチングする時完全にエッチングされる値に設定す
る。第1層間絶縁膜17と第2層間絶縁膜15のエッチ
レートが異なる場合は、当然エッチレートによる重み付
けを行なう。
【0143】上述の厚さを用いた場合、厚さ125nm
の第1層間絶縁膜17をエッチングする間に、第2層間
絶縁膜15は深さ約250nmエッチングされる。配線
用溝の深さd1を500nmに設定した場合、第2層間
絶縁膜15に対しては、残り約250nm分のエッチン
グが行なわれる。エッチレート比を、第2層間絶縁膜1
5:エッチストッパ膜12=12:1に設定する場合、
第1エッチストッパ層は250/12=20.8nmエ
ッチングされることになる。エッチストッパ層12はこ
のエッチングによっても充分残存し、導電性領域がダメ
ージを受けることはほぼ完璧に防止できる。
【0144】その後アッシングを行なってレジストパタ
ーンPR2を除去する。
【0145】図6(D)に示すように、シリコン窒化膜
に対するエッチングを行ない、第2層間絶縁膜上の反射
防止膜16、導電性領域上のエッチストッパ層12を除
去する。その後、図5(H)に示す工程と同様の工程を
行ない、シード層、バリア層、主配線層を形成し、デュ
アルダマシン配線を完成する。
【0146】図3の実施例同様、上下配線層の付随容量
を更に低減することもできる。図7(A)、(B)は、
上下配線層の付随容量を更に低減する実施例を示す。
【0147】図7(A)において、層間絶縁膜は、下か
ら弗素含有シリコン酸化膜13、プラズマ酸化膜17、
弗素含有シリコン酸化膜15で形成されている。弗素含
有シリコン酸化膜13の誘電率は低く、容量低減に有効
である。図6(A)〜(D)同様の工程を行うことによ
り、図7(B)の構造を得る。
【0148】なお、上述の実施例においては、ビア孔用
開口は、配線溝用開口の領域内に配置されることを前提
としている。このためには、位置合わせ余裕をみこんで
パターンを設計する必要がある。位置合わせ余裕が小さ
くなった場合、位置合わせずれによりビア孔パターンと
配線溝用パターンがずれる場合が生じ得る。
【0149】図8(A)は、ビア孔用開口HPと配線溝
用開口WAに位置合わせずれを生じた場合を示す。この
場合、配線溝用開口WAに含まれていないビア孔領域に
は、レジストが残されることになる。
【0150】図8(B)は、配線溝用開口WAの光近接
効果により、配線溝用開口WAが後退した場合、ビア孔
用開口HPの一部が配線溝用開口WAに覆われなくなっ
た場合を示す。この場合も、ビア孔用開口HPの一部は
配線用溝用開口WAに覆われず、その領域のレジストは
除去されず、残ることになる。
【0151】図8(C)は、このような位置合わせずれ
又はパターンの光近接効果による後退により、ビア孔用
開口の一部が配線溝用開口に覆われなくなった場合の配
線溝用エッチングを行なうレジストパターンPR2の形
状を概略的に示す。配線溝用開口WAは、ビア孔HPの
一部から外部に向って延在する。ビア孔HPの一部領域
には、レジストパターンPR2が入り込んでいる。
【0152】図8(C)に示した構成においては、配線
溝用開口HPが第1層間絶縁膜13表面まで達している
が、ビア孔の断面積が減少している。
【0153】図8(D)は、位置合わせずれがさらに大
きくなった場合に生じ得る現象を示す。この場合には、
配線溝用エッチングのエッチングマスクとなるレジスト
パターンPR2の開口は、ビア孔HPの深さ方向の一部
にしか到達せず、ビア孔HPの下部においてはビア孔全
面がレジストによって覆われている。この場合、配線溝
用エッチングを行なっても、ビア孔下方の第1層間絶縁
膜13は全くエッチされないことにもなる。
【0154】このように、ビア孔HPと配線溝用開口W
Aが位置合わせずれを生じた場合に、ビア導電体のコン
タクト不良が生じ得る。以下、このような位置合わせず
れが生じた場合にも、ビア孔を確実に下地導電領域表面
に達するようにする実施例を説明する。
【0155】図9、図10は、本発明の他の実施例によ
る半導体装置の製造方法を説明する断面図である。
【0156】図9(A)において、導電性領域11を有
する下地10表面上に、第1エッチストッパ層12、第
1層間絶縁膜13、第2エッチストッパ層14、第2層
間絶縁膜15、ハードマスク層18を積層する。
【0157】第1エッチストッパ層12、第2エッチス
トッパ層14は、例えば厚さ50nmのSiN膜によっ
て形成する。第1層間絶縁膜は、例えば厚さ約300n
mの弗素含有シリコン酸化膜によって形成する。第2層
間絶縁膜15は、例えば厚さ900nmの弗素含有シリ
コン酸化膜によって形成する。ハードマスク層18は、
例えば厚さ100nmのTiNなどのメタル層によって
形成する。
【0158】ハードマスク層18の上にレジスト膜を塗
布し、露光、現像してビア孔用開口HAを有するレジス
トパターンPR1を形成する。レジストパターンPR1
をエッチングマスクとして用い、ハードマスク層18を
エッチングした後、第2層間絶縁膜15のエッチングを
行う。
【0159】ハードマスク層18のエッチングは、例え
ばClを含むガスをエッチャントとした異方性プラズマ
エッチングで行なう。第2層間絶縁膜15のエッチング
は、CFを含むガスとO2を含むガスの混合ガスをエッ
チャントとした異方性プラズマエッチングにより行な
う。このエッチングにおいて、弗素含有酸化膜15とS
iN膜14に対するエッチレートは、たとえば弗素含有
シリコン酸化膜15:SiN膜14=12:1に設定さ
れる。
【0160】なお、第2層間絶縁膜15に対するエッチ
ングは、ハードマスク層18をマスクとしても行なうこ
とができる。この場合、レジストパターンPR1は第2
層間絶縁膜エッチング前に除去しても良い。
【0161】第2層間絶縁膜15のエッチングを行なっ
た後、第2エッチストッパ層14のエッチングを行な
う。このエッチングにおいて、レジストパターンPR1
はマスクとして残存しても、その前に除去しても良い。
レジストパターンPR1が残っている場合は、その後ア
ッシング等により除去する。
【0162】図9(B)に示すように、ハードマスク層
18の上に、配線溝形成用レジストパターンPR2を形
成する。レジストパターンPR2の開口WAは、ビア孔
HPを完全に含まなくても良い。
【0163】図9(C)に示すように、レジストパター
ンPR2をマスクとし、ハードマスク18のエッチング
を行なう。このエッチングにおいて、ビア孔HPの一部
はレジストで覆われているが、配線用溝形成領域のハー
ドマスク層18のエッチングには支障がない。
【0164】図9(D)に示すように、レジストパター
ンPR2を除去する。ビア孔HP内に入り込んでいたレ
ジストは除去され、ビア孔HP全体が露出する。又、第
2層間絶縁膜15上のハードマスク層18は、ビア孔H
P上部および配線用溝形成領域を含む開口WAを有す
る。
【0165】図10(E)に示すように、ハードマスク
層18をエッチングマスクとし、第2層間絶縁膜15の
コントロールエッチングを行なうと共に、第1層間絶縁
膜13をエッチングする。このエッチングは、第1層間
絶縁膜13を完全にエッチングした後、オーバーエッチ
ングが行われるように設定される。
【0166】このエッチングにおいては、第1および第
2層間絶縁膜13、15に対するエッチレートが、第1
エッチストッパ層12に対するエッチレートよりも十分
大きい条件で行なうことができる。例えば、上述のよう
にCFを含むガスと、O2を含むガスの混合ガスをエッ
チャントとして用い、エッチレート比を12:1で行な
うことができる。このエッチングにおいて、第1エッチ
ストッパ層12は充分な厚さで残り、その下の導電性領
域11のダメージを防止する。
【0167】図10(F)に示すように、ビア孔HP底
部に露出した第1エッチストッパ層12をエッチング
し、導電領域11を露出するビア孔HPAを形成する。
【0168】図10(G)に示すように、ハードマスク
18および配線用溝、ビア孔上にバリアメタル層19お
よび主配線層20を形成する。バリアメタル層19は、
例えば厚さ約25nmのTiN層で形成できる。主配線
層20は、例えば銅層で形成できる。バリアメタル層、
主配線層は、スパッタリング、メッキなどにより形成す
ることができる。
【0169】図10(H)に示すように、第2層間絶縁
膜15上に形成された主配線層20、バリアメタル層1
9、ハードマスク層18をCMP等により除去し、平坦
な表面を形成する。
【0170】本実施例によれば、配線溝用エッチング
は、ビア孔用開口と配線溝用開口とを足し合わせた形状
のハードマスクに転写されたパターンをエッチングマス
クとして行なわれる。配線溝用マスクがビア孔用マスク
に対し位置合わせずれを生じても、ビア孔内に入り込ん
でいたレジストは除去された後、エッチングが行なわれ
るため、ビア孔形成が損なわれることが防止される。
【0171】本実施例における層間絶縁膜の積層構造
は、図4、図5に示す層間絶縁膜下部にエッチストッパ
層を有する構成を用いた。同様の製造プロセスがエッチ
ストッパ層を用いない図6、7の層間絶縁膜を用いるプ
ロセスに対しても適用できる。
【0172】図11は、本発明の実施例による半導体装
置の製造方法を示す断面図である。
【0173】図11(A)に示すように、導電性領域1
1を有する下地10表面上に、エッチストッパ層12、
第1層間絶縁膜17、第2層間絶縁膜15、ハードマス
ク層16を積層する。エッチストッパ層12は、例えば
厚さ50nmのSiN膜で形成する。第1層間絶縁膜1
7は、例えば屈折率n=1.5、厚さ約200nmのS
iO2膜によって形成する。第2層間絶縁膜15は、例
えば厚さ1000nmの弗素含有シリコン酸化膜によっ
て形成する。ハードマスク層16は、例えば厚さ100
nmのTiN膜によって形成する。
【0174】ハードマスク層16の上に、ビア孔パター
ンを有する開口HAを有するレジストパターンPR1を
形成する。
【0175】レジストパターンPR1をエッチングマス
クとして用い、ハードマスク層16をClを含むエッチ
ャントガスでエッチングした後、第2層間絶縁膜15を
CFを含むガスとO2を含むガスの混合ガスをエッチャ
ントガスとする異方性プラズマエッチングによりエッチ
ングする。その後レジストパターンPR1は除去する。
【0176】図11(B)に示すように、ハードマスク
層16の表面上に配線溝パターンの開口WAを有するレ
ジストパターンPR2を形成する。このレジストパター
ンPR2をエッチングマスクとし、ハードマスク層16
のエッチングを行なう。なお、レジストパターンPR2
は、位置合わせずれによりビア孔内に入り込んだ形状で
あるが、ビア孔に連続した配線溝用開口がハードマスク
層16に形成される。
【0177】図11(C)に示すように、レジストパタ
ーンPR2を除去する。ビア孔内部に入り込んでいたレ
ジストは除去され、ビア孔全体が露出する。ハードマス
ク層16をエッチングマスクとし、第2層間絶縁膜15
のコントロールエッチングを行なう。このコントロール
エッチングと同時に、ビア孔下部に残存する第1層間絶
縁膜17がエッチングされ、第1エッチストッパ層12
が露出する。
【0178】このようにして、配線用溝とそれに接続し
たビア孔がマスクの位置合わせずれにもかかわらず形成
される。その後、前述の実施例同様、バリア層、主配線
層を形成し、CMP等により第2層間絶縁膜上の金属層
を除去する。図9から11に示した実施例によれば、マ
スク合わせ余裕を大きくとることができ、確実な接続孔
の形成が行え、より電気的に良好な特性を示す配線構造
を形成することができる。配線をより高密度に配置する
ことができる。
【0179】以上説明した実施例においては、1つのデ
ュアルダマシン配線を形成した。実際の半導体装置にお
いては、多層の配線層を形成し、各配線層において複数
のデュアルダマシン構造を形成する。
【0180】図12は、半導体集積回路装置の構成例を
示す断面図である。シリコン基板10の表面には、シャ
ロートレンチアイソレーションにより素子分離領域ST
Iが形成され、活性領域が画定されている。図に示す構
造においては、1つの活性領域内にnチャネルMOSト
ランジスタn‐MOSが形成され、他の活性領域内にp
チャネルMOSトランジスタp‐MOSが形成されてい
る。
【0181】各トランジスタは、基板表面上に絶縁ゲー
ト電極構造を有し、ゲート電極の両側の基板内にn型又
はp型のソース/ドレイン領域11が形成されている。
これらのソース/ドレイン領域は、前述の実施例におけ
る導電性領域である。
【0182】シリコン基板10表面上に第1エッチスト
ッパ層12、第1層間絶縁膜13、第2エッチストッパ
層14、第2層間絶縁膜15の積層が形成され、バリア
層19、主配線層20のデュアルダマシン配線構造が形
成されている。これらのデュアルダマシン配線も、その
上方に形成される配線に対しては前述の実施例における
導電性領域となる。
【0183】図においては、両端の導電性領域11上に
それぞれ引き出し配線構造が形成され、中央の2つの導
電領域11上に相互を接続する他の配線構造が形成され
ている。すなわち、図に示す2つのMOSトランジスタ
は、コンプリメンタリMOS(CMOS)トランジスタ
を構成している。
【0184】以上説明した第1配線層の上に、第3エッ
チストッパ層22、第3層間絶縁膜23、第4エッチス
トッパ層24、第4層間絶縁膜25の積層が形成され、
この積層内にバリア層29、主配線層30のデュアルダ
マシン配線構造が形成されている。
【0185】さらに上層には、第5エッチストッパ層3
2、第5層間絶縁膜33、第6エッチストッパ層34、
第6層間絶縁膜35が積層され、この積層内にバリアメ
タル層39、主配線層40のデュアルダマシン配線構造
が形成されている。
【0186】さらに上層には、第7エッチストッパ層4
2、第7層間絶縁膜43、第8エッチストッパ層44、
第8層間絶縁膜45の積層が形成され、この積層内にバ
リアメタル層49、主配線層50のデュアルダマシン配
線構造が形成されている。このデュアルダマシン配線構
造の表面を覆って、保護膜52が形成されている。
【0187】これらのデュアルダマシン配線も、前述の
実施例のデュアルダマシン配線に相当する。このよう
に、多層配線構造をデュアルダマシン配線構造を用いて
形成することにより、高集積度で付随容量が小さく、配
線抵抗の小さい配線構造を形成することができる。
【0188】以上実施例に沿って本発明を説明したが、
本発明はこれらに制限されるものではない。例えばエッ
チストップ層として、シリコン窒化膜の他、シリコン酸
化窒化膜、シリコンカーバイド(SiC,SiC:H)
等を用いてもよい。エッチレートの異なる膜は、組成、
密度、成長方法(CVD,蒸着、スパッタリング)、成
長温度の異なるシリコン酸化膜、弗素、燐、ボロン等添
加物の含有量の異なる添加物含有シリコン酸化膜、水素
シルセスキオキサン(HSQ),テトラエトキシシリケ
ート(TEOS)など原料の異なるシリコン酸化膜、シ
リコン窒化膜、シリコン酸化窒化膜、シロキサン結合を
有する無機化合物膜、有機化合物膜等から選択する事が
できる。デュアルダマシン配線は、金属または金属化合
物で形成できる。金属としては、金、銀、白金、銅、ア
ルミニウム、タングステン、チタン、タンタル、モリブ
デン等、またはこれらの合金を用いることができる。金
属化合物としては、チタンナイトライド、タンタルナイ
トライド、タングステンナイトライド、またはモリブデ
ンナイトライド等を用いることができる。
【0189】その他、種々の変更、改良、組み合わせが
可能なことは当業者に自明であろう。厚い、例えば50
0nm以上の厚さの配線層に対してのみ、上述のデュア
ルダマシン配線を採用し、薄い、例えば厚さ500nm
未満の配線層に対しては、従来のデュアルダマシン配線
層を採用してもよい。1つの形態としては、下層配線
は、図13〜21に示した従来型の配線で形成し、上層
配線は、図1〜11に示した実施例による配線で形成す
る。
【0190】なお、本発明に関し、以下を開示する。
【0191】(付記1) 表面に導電性領域を有する下
地と、前記下地の表面を覆う絶縁性エッチストッパ膜
と、前記絶縁性エッチストッパ膜上に形成された層間絶
縁膜と、前記層間絶縁膜表面から第1の深さで形成され
た配線用溝と、前記配線用溝底面から、前記層間絶縁膜
の残りの厚さおよび前記絶縁性エッチストッパ膜を貫通
し、前記導電性領域に達する接続用孔と、前記配線用溝
および前記接続用孔を埋め込んで形成されたデュアルダ
マシン配線と、を有し、前記層間絶縁膜が前記配線用溝
の側面および底面を包む第1種の絶縁層と、前記第1種
の絶縁層よりも下に配置され、第1種の絶縁層とエッチ
ング特性の異なる第2種の絶縁層とを含む半導体装置。
【0192】(付記2) 前記接続孔は、前記第1種の
絶縁層内で上方に向って次第に断面積が増大する部分を
有する付記1記載の半導体装置。
【0193】(付記3) 前記層間絶縁層が、さらに前
記第2種の絶縁層の下に配置され、第2種の絶縁層とエ
ッチング特性の異なる第3種の絶縁層を含む付記1また
は2記載の半導体装置。
【0194】(付記4) 前記接続孔は、前記第2種の
絶縁層の途中から上方に向って次第に断面積が増大する
部分を有する付記3記載の半導体装置。
【0195】(付記5) 前記第2種の絶縁層が、前記
第1種の絶縁層のエッチング時にエッチストッパとして
機能し得る層であり、前記接続用孔は前記第2種の絶縁
層下部から前記導電性領域表面まで実質的に同一の断面
形状を有する付記3または4記載の半導体装置。
【0196】(付記6) 前記第3種の絶縁層が、前記
第1の深さより小さい厚さを有する付記3〜5のいずれ
か1項記載の半導体装置。
【0197】(付記7) 前記第2種の絶縁層が前記絶
縁性エッチストッパ膜上に配置されており、前記第1の
深さより小さい厚さを有する付記1または2記載の半導
体装置。
【0198】(付記8) 表面に導電性領域を有する下
地上に絶縁性エッチストッパ膜を形成する工程と、前記
絶縁性エッチストッパ膜上に、第1種の絶縁膜とその下
に配置され、第1種の絶縁膜とエッチング特性の異なる
第2種の絶縁膜とを含む層間絶縁膜を形成する工程と、
前記層間絶縁膜の表面から、前記層間絶縁膜を貫通し、
前記絶縁性エッチストッパ膜に達する接続用孔を形成す
る工程と、前記接続孔内に、前記第2種の絶縁膜の表面
より下の高さまで有機物の保護詰物を形成する工程と、
前記接続孔と重複させ、前記層間絶縁膜表面から第1種
の絶縁膜中第1の深さまで配線用溝を形成する工程と、
前記保護詰物を除去する工程と、前記絶縁性エッチング
ストッパ膜を除去し、導電性領域を有する下地までの接
続用孔を貫通させる工程と、前記配線用溝および前記接
続用孔を埋め込んでデュアルダマシン配線を形成する工
程と、を有する半導体装置の製造方法。
【0199】(付記9) 前記層間絶縁層が、さらに前
記第2種の絶縁層の下に配置され、第2種の絶縁層とエ
ッチング特性の異なる第3種の絶縁層を含む付記8記載
の半導体装置の製造方法。
【0200】(付記10) 前記第2種の絶縁膜は、前
記第1種および第3種の絶縁膜よりエッチレートが低い
付記9記載の半導体装置の製造方法。
【0201】(付記11) 前記第2種の絶縁膜が他の
エッチストッパ層とその下に配置された下層絶縁膜とを
有し、前記接続用孔を形成する工程が、前記第1種の絶
縁膜および他のエッチストッパ膜とその下に配置された
下層絶縁膜を有する第2種絶縁膜を貫通し、前記エッチ
ストッパ膜に達する接続用孔を形成する工程である付記
8記載の半導体装置の製造方法。
【0202】(付記12) 表面に導電性領域を有する
下地上に絶縁性エッチストッパ膜を形成する工程と、前
記絶縁性エッチストッパ膜上に、第1種の絶縁膜とその
下に配置され、第1種の絶縁膜とエッチング特性の異な
る第2種の絶縁膜とを含む層間絶縁膜を形成する工程
と、前記層間絶縁膜の表面から、前記第1種の絶縁膜を
貫通し、前記第2種の絶縁膜に達する接続用孔を形成す
る第1エッチング工程と、前記接続孔と重複させ、前記
層間絶縁膜表面から第1種の絶縁膜中第1の深さまで配
線用溝を形成するとともに、前記接続孔下の残りの層間
絶縁膜を除去する第2エッチング工程と、前記絶縁性エ
ッチングストッパ膜を除去し、導電性領域を有する下地
までの接続用孔を貫通させる工程と、前記配線用溝およ
び前記接続用孔を埋め込んでデュアルダマシン配線を形
成する工程と、を有する半導体装置の製造方法。
【0203】(付記13) 前記第2のエッチング工程
が、前記第2種の絶縁膜をエッチングして前記エッチス
トッパ膜を露出する工程と、露出したエッチストッパ膜
をエッチングする工程とを含む付記12記載の半導体装
置の製造方法。
【0204】(付記14) 前記第2種の絶縁膜が、他
のエッチストッパ膜とその下に配置された下層絶縁膜と
を有し、前記第1エッチング工程はマスクを用いて、前
記第1種の絶縁膜をエッチングする工程と、その後露出
した他のエッチストッパ膜をエッチングする工程とを含
み、前記第2のエッチング工程が、マスクを用いて前記
接続孔下の前記下層絶縁膜をエッチングする工程と露出
した前記エッチストッパ膜をエッチングする工程とを含
む付記12記載の半導体装置の製造方法。
【0205】(付記15) 前記層間絶縁膜を形成する
工程が、前記層間絶縁膜の上にハードマスク層も形成
し、前記第1エッチング工程が前記ハードマスク層の上
に第1レジストマスクを形成する工程を含み、前記第2
エッチング工程が前記ハードマスク層上に第2レジスト
マスクを形成し、前記ハードマスク層をエッチングする
工程と、その後第2レジストマスクを除去し、ハードマ
スク層をエッチングマスクとして用いて、エッチングを
行なう工程とを含む付記12〜14のいずれか1項に記
載の半導体装置の製造方法。
【0206】(付記16) 表面に導電性領域を有する
下地上に絶縁性エッチストッパ膜を形成する工程と、前
記絶縁性エッチストッパ膜上に、下から第1種の絶縁膜
と第2種の絶縁膜と第3種の絶縁膜とを含み、第2種の
絶縁膜は、第1種および第3種の絶縁膜とエッチング特
性の異なる層間絶縁膜を形成する工程と、前記層間膜表
面から、前記第3種絶縁膜、第2種絶縁膜、第1種絶縁
膜を貫通し、前記絶縁性エッチストッパ膜に達する接続
用孔を形成する第1エッチング工程と、前記接続孔内に
前記第1種の絶縁膜表面より高く、前記第2種の絶縁膜
表面より低い高さまで有機物の保護詰物を形成する工程
と、前記接続孔と重複させ、前記層間絶縁膜表面から第
3種の絶縁膜中第1の深さまで配線用溝を形成する第2
エッチング工程と、前記保護詰物を除去し、前記接続用
孔内に前記絶縁性エッチストッパ膜を露出させる工程
と、露出した前記エッチストッパ膜をエッチングする第
3エッチング工程と、前記配線用溝および前記接続孔を
埋め込んでデュアルダマシン配線を形成する工程と、を
有する半導体装置の製造方法。
【0207】(付記17) 表面に導電性領域を有する
下地上に絶縁性エッチストッパ膜を形成する工程と、前
記絶縁性エッチストッパ膜上に、下から第1種の絶縁膜
と第2種の絶縁膜と第3種の絶縁膜とを含み、第2種の
絶縁膜は第1種および第3種の絶縁膜とエッチング特性
の異なる層間絶縁膜を形成する工程と、前記層間絶縁膜
の表面から、前記第3種の絶縁膜を貫通し、前記第2種
の絶縁膜に達する接続用孔を形成する第1エッチング工
程と、前記接続孔底面に露出した第2種の絶縁膜をエッ
チングする第2エッチング工程と、前記接続孔と重複さ
せ、前記層間絶縁膜表面から第3種の絶縁膜中第1の深
さで配線用溝を形成するとともに、前記接続孔下の第1
種の絶縁膜をエッチングして前記エッチストッパ膜を露
出する第3エッチング工程と、露出した前記エッチスト
ッパ膜をエッチングする第4エッチング工程と前記配線
用溝および前記接続用孔を埋め込んでデュアルダマシン
配線を形成する工程と、を有する半導体装置の製造方
法。
【0208】(付記18) 前記層間絶縁膜を形成する
工程が、前記層間絶縁膜の上にハードマスク層も形成
し、前記第1エッチング工程が前記ハードマスク層の上
に第1レジストマスクを形成する工程と、前記第1レジ
ストマスクをエッチングマスクとして用い、ハードマス
ク層をエッチングする工程とを含み、前記第3エッチン
グ工程が前記ハードマスク層上に第2レジストマスクを
形成し、前記第2レジストマスクをエッチングマスクと
して用い、前記ハードマスク層をエッチングする工程
と、その後第2レジストマスクを除去し、ハードマスク
層をエッチングマスクとして用いて、エッチングを行な
う工程とを含む付記17に記載の半導体装置の製造方
法。
【0209】
【発明の効果】以上説明したように、本発明によれば、
下地導電領域にダメージを与えることの少ないデュアル
ダマシン配線構造を有する半導体装置の製造方法が提供
される。
【0210】また、好適なデュアルダマシン配線構造を
有する半導体装置が提供される。
【0211】ビア孔内に詰め物を用いなくても、下地導
電領域にダメージを与えることの少ない配線形成技術が
提供される。
【図面の簡単な説明】
【図1】 本発明の実施例を説明するための半導体基板
の断面図である。
【図2】 本発明の他の実施例を説明するための半導体
基板の断面図である。
【図3】 本発明の他の実施例を説明するための半導体
基板の断面図である。
【図4】 本発明の他の実施例を説明するための半導体
基板の断面図である。
【図5】 図4と共に他の実施例を説明するための半導
体基板の断面図である。
【図6】 本発明の他の実施例を説明するための半導体
基板の断面図である。
【図7】 本発明の実施例による半導体装置の製造方法
を説明するための半導体基板の断面図である。
【図8】 図4〜図7の実施例において、マスクの位置
合わせずれが生じたときに生じ得る問題を説明するため
の平面図および断面図である。
【図9】 本発明の他の実施例による半導体装置の製造
方法を説明するための半導体基板の断面図である。
【図10】 図9と共に本発明の他の実施例による半導
体基板の製造方法を説明するための半導体基板の断面図
である。
【図11】 本発明の他の実施例による半導体装置の製
造方法を説明するための半導体基板の断面図である。
【図12】 本発明の実施例により製造される半導体集
積回路装置の構成例を概略的に示す断面図である。
【図13】 従来の技術による半導体装置の製造方法を
説明するための半導体基板の断面図である。
【図14】 従来の技術による半導体装置の製造方法を
説明するための半導体基板の断面図である。
【図15】 従来の技術による半導体装置の製造方法を
説明するための半導体基板の断面図である。
【図16】 半導体装置の製造方法についての考察を説
明するための半導体基板の断面図である。
【図17】 従来技術による配線の製造工程についての
考察を説明するための半導体基板の断面図である。
【図18】 従来技術による配線の製造工程についての
考察を説明するための半導体基板の断面図である。
【図19】 従来技術による配線の製造工程についての
考察を説明するための半導体基板の断面図である。
【図20】 従来技術による異常エッチングや下地ダメ
ージの発生についての考察を説明するための半導体基板
の断面図である。
【図21】 従来技術による異常エッチングや下地ダメ
ージの発生についての考察を説明するための半導体基板
の断面図である。
【符号の説明】
10 下地 11 導電性領域 12、14 エッチストッパ層 13、15 層間絶縁膜 16 反射防止膜 18 ハードマスク層 19 バリアメタル層 20 主配線層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 駒田 大輔 愛知県春日井市高蔵寺町二丁目1844番2号 富士通ヴィエルエスアイ株式会社内 (72)発明者 新福 文彦 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 Fターム(参考) 5F033 HH07 HH08 HH09 HH11 HH12 HH13 HH14 HH18 HH19 HH20 HH21 HH32 HH33 HH34 JJ01 JJ07 JJ08 JJ09 JJ11 JJ12 JJ13 JJ14 JJ18 JJ19 JJ20 JJ21 JJ32 JJ33 JJ34 KK01 MM02 MM12 MM13 NN06 NN07 NN32 PP15 PP27 PP28 QQ04 QQ08 QQ09 QQ11 QQ16 QQ21 QQ25 QQ27 QQ37 QQ48 RR01 RR04 RR06 RR08 RR09 RR11 RR13 RR14 RR15 RR23 RR25 SS04 SS08 SS10 SS11 SS15 TT02 TT04 XX00

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 表面に導電性領域を有する下地と、 前記下地の表面を覆う絶縁性エッチストッパ膜と、 前記絶縁性エッチストッパ膜上に形成された層間絶縁膜
    と、 前記層間絶縁膜表面から第1の深さで形成された配線用
    溝と、 前記配線用溝底面から、前記層間絶縁膜の残りの厚さお
    よび前記絶縁性エッチストッパ膜を貫通し、前記導電性
    領域に達する接続用孔と、 前記配線用溝および前記接続用孔を埋め込んで形成され
    たデュアルダマシン配線と、 を有し、 前記層間絶縁膜が前記配線用溝の側面および底面を包む
    第1種の絶縁層と、前記第1種の絶縁層よりも下に配置
    され、第1種の絶縁層とエッチング特性の異なる第2種
    の絶縁層とを含む半導体装置。
  2. 【請求項2】 前記層間絶縁層が、さらに前記第2種の
    絶縁層の下に配置され、第2種の絶縁層とエッチング特
    性の異なる第3種の絶縁層を含み、前記第2種の絶縁層
    が、前記第1種の絶縁層のエッチング時にエッチストッ
    パとして機能し得る層であり、前記接続用孔は前記第2
    種の絶縁層下部から前記導電性領域表面まで実質的に同
    一の断面形状を有する請求項1記載の半導体装置。
  3. 【請求項3】 前記第3種の絶縁層が、前記第1の深さ
    より小さい厚さを有する請求項2記載の半導体装置。
  4. 【請求項4】 前記第2種の絶縁層が前記絶縁性エッチ
    ストッパ膜上に配置されており、前記第1の深さより小
    さい厚さを有する請求項1記載の半導体装置。
  5. 【請求項5】 表面に導電性領域を有する下地上に絶縁
    性エッチストッパ膜を形成する工程と、 前記絶縁性エッチストッパ膜上に、第1種の絶縁膜とそ
    の下に配置され、第1種の絶縁膜とエッチング特性の異
    なる第2種の絶縁膜とを含む層間絶縁膜を形成する工程
    と、 前記層間絶縁膜の表面から、前記層間絶縁膜を貫通し、
    前記絶縁性エッチストッパ膜に達する接続用孔を形成す
    る工程と、 前記接続孔内に、前記第2種の絶縁膜の表面より下の高
    さまで有機物の保護詰物を形成する工程と、 前記接続孔と重複させ、前記層間絶縁膜表面から第1種
    の絶縁膜中第1の深さまで配線用溝を形成する工程と、 前記保護詰物を除去する工程と、 前記絶縁性エッチストッパ膜を除去し、導電性領域を有
    する下地までの接続用孔を貫通させる工程と、 前記配線用溝および前記接続用孔を埋め込んでデュアル
    ダマシン配線を形成する工程と、 を有する半導体装置の製造方法。
  6. 【請求項6】 表面に導電性領域を有する下地上に絶縁
    性エッチストッパ膜を形成する工程と、 前記絶縁性エッチストッパ膜上に、第1種の絶縁膜とそ
    の下に配置され、第1種の絶縁膜とエッチング特性の異
    なる第2種の絶縁膜とを含む層間絶縁膜を形成する工程
    と、 前記層間絶縁膜の表面から、前記第1種の絶縁膜を貫通
    し、前記第2種の絶縁膜に達する接続用孔を形成する第
    1エッチング工程と、 前記接続孔と重複させ、前記層間絶縁膜表面から第1種
    の絶縁膜中第1の深さまで配線用溝を形成するととも
    に、前記接続孔下の残りの層間絶縁膜を除去する第2エ
    ッチング工程と、 前記絶縁性エッチストッパ膜を除去し、導電性領域を有
    する下地までの接続用孔を貫通させる工程と、 前記配線用溝および前記接続用孔を埋め込んでデュアル
    ダマシン配線を形成する工程と、 を有する半導体装置の製造方法。
  7. 【請求項7】 表面に導電性領域を有する下地上に絶縁
    性エッチストッパ膜を形成する工程と、 前記絶縁性エッチストッパ膜上に、下から第1種の絶縁
    膜と第2種の絶縁膜と第3種の絶縁膜とを含み、第2種
    の絶縁膜は、第1種および第3種の絶縁膜とエッチング
    特性の異なる層間絶縁膜を形成する工程と、 前記層間膜表面から、前記第3種絶縁膜、第2種絶縁
    膜、第1種絶縁膜を貫通し、前記絶縁性エッチストッパ
    膜に達する接続用孔を形成する第1エッチング工程と、 前記接続孔内に前記第1種の絶縁膜表面より高く、前記
    第2種の絶縁膜表面より低い高さまで有機物の保護詰物
    を形成する工程と、 前記接続孔と重複させ、前記層間絶縁膜表面から第3種
    の絶縁膜中第1の深さまで配線用溝を形成する第2エッ
    チング工程と、 前記保護詰物を除去し、前記接続用孔内に前記絶縁性エ
    ッチストッパ膜を露出させる工程と、 露出した前記エッチストッパ膜をエッチングする第3エ
    ッチング工程と、 前記配線用溝および前記接続孔を埋め込んでデュアルダ
    マシン配線を形成する工程と、を有する半導体装置の製
    造方法。
  8. 【請求項8】 表面に導電性領域を有する下地上に絶縁
    性エッチストッパ膜を形成する工程と、 前記絶縁性エッチストッパ膜上に、下から第1種の絶縁
    膜と第2種の絶縁膜と第3種の絶縁膜とを含み、第2種
    の絶縁膜は第1種および第3種の絶縁膜とエッチング特
    性の異なる層間絶縁膜を形成する工程と、 前記層間絶縁膜の表面から、前記第3種の絶縁膜を貫通
    し、前記第2種の絶縁膜に達する接続用孔を形成する第
    1エッチング工程と、 前記接続孔底面に露出した第2種の絶縁膜をエッチング
    する第2エッチング工程と、 前記接続孔と重複させ、前記層間絶縁膜表面から第3種
    の絶縁膜中第1の深さで配線用溝を形成するとともに、
    前記接続孔下の第1種の絶縁膜をエッチングして前記エ
    ッチストッパ膜を露出する第3エッチング工程と、 露出した前記エッチストッパ膜をエッチングする第4エ
    ッチング工程と前記配線用溝および前記接続用孔を埋め
    込んでデュアルダマシン配線を形成する工程と、 を有する半導体装置の製造方法。
JP2000221202A 2000-07-21 2000-07-21 半導体装置の製造方法 Expired - Lifetime JP4858895B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000221202A JP4858895B2 (ja) 2000-07-21 2000-07-21 半導体装置の製造方法
US09/735,479 US6787907B2 (en) 2000-07-21 2000-12-14 Semiconductor device with dual damascene wiring
TW089127108A TW471045B (en) 2000-07-21 2000-12-18 Semiconductor device with dual damascene wiring
KR1020000077907A KR100649410B1 (ko) 2000-07-21 2000-12-18 반도체 장치 및 그 제조 방법
US10/898,938 US7119009B2 (en) 2000-07-21 2004-07-27 Semiconductor device with dual damascene wiring

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000221202A JP4858895B2 (ja) 2000-07-21 2000-07-21 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010186624A Division JP5104924B2 (ja) 2010-08-23 2010-08-23 半導体装置

Publications (3)

Publication Number Publication Date
JP2002043417A true JP2002043417A (ja) 2002-02-08
JP2002043417A5 JP2002043417A5 (ja) 2006-10-12
JP4858895B2 JP4858895B2 (ja) 2012-01-18

Family

ID=18715655

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000221202A Expired - Lifetime JP4858895B2 (ja) 2000-07-21 2000-07-21 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US6787907B2 (ja)
JP (1) JP4858895B2 (ja)
KR (1) KR100649410B1 (ja)
TW (1) TW471045B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005328065A (ja) * 2004-05-14 2005-11-24 Sharp Corp デュアルダマシン配線の形成方法
US7061112B2 (en) 2002-12-27 2006-06-13 Fujitsu Limited Semiconductor device including an electrical contact connected to an interconnection
US7183195B2 (en) 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
JP2008047582A (ja) * 2006-08-11 2008-02-28 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2009514213A (ja) * 2005-10-31 2009-04-02 スパンジョン・リミテッド・ライアビリティ・カンパニー 犠牲マスキング構造を用いた半導体装置の製造方法
JP2011165924A (ja) * 2010-02-10 2011-08-25 Mitsubishi Electric Corp 半導体装置
JP2012015197A (ja) * 2010-06-29 2012-01-19 Tokyo Electron Ltd 半導体装置の配線形成方法、半導体装置の製造方法および半導体装置の配線形成システム

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294799B1 (en) * 1995-11-27 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
JP3920590B2 (ja) * 2000-06-19 2007-05-30 株式会社東芝 半導体装置の製造方法
US7172960B2 (en) * 2000-12-27 2007-02-06 Intel Corporation Multi-layer film stack for extinction of substrate reflections during patterning
JP4948715B2 (ja) * 2001-06-29 2012-06-06 富士通セミコンダクター株式会社 半導体ウエハ装置およびその製造方法
JP3762732B2 (ja) * 2002-09-27 2006-04-05 三洋電機株式会社 半導体装置の製造方法
JP3909283B2 (ja) * 2002-10-31 2007-04-25 富士通株式会社 半導体装置の製造方法
KR100960921B1 (ko) * 2002-11-20 2010-06-04 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US7675174B2 (en) * 2003-05-13 2010-03-09 Stmicroelectronics, Inc. Method and structure of a thick metal layer using multiple deposition chambers
JP4571785B2 (ja) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100598294B1 (ko) 2003-12-31 2006-07-07 동부일렉트로닉스 주식회사 듀얼 다마신을 이용한 구리 배선 형성 방법
JP4211674B2 (ja) * 2004-05-12 2009-01-21 セイコーエプソン株式会社 半導体装置及びその製造方法、電気光学装置及びその製造方法、並びに電子機器
US7572727B1 (en) * 2004-09-02 2009-08-11 Spansion Llc Semiconductor formation method that utilizes multiple etch stop layers
DE102005004409B4 (de) * 2005-01-31 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
US20060244151A1 (en) * 2005-05-02 2006-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Oblique recess for interconnecting conductors in a semiconductor device
JP2006351862A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7531448B2 (en) * 2005-06-22 2009-05-12 United Microelectronics Corp. Manufacturing method of dual damascene structure
JP5096669B2 (ja) 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20070057305A1 (en) 2005-09-13 2007-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor integrated into the damascene structure and method of making thereof
JP4533304B2 (ja) * 2005-11-29 2010-09-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US8264086B2 (en) 2005-12-05 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure with improved reliability
CN100552916C (zh) * 2005-12-07 2009-10-21 佳能株式会社 使用双镶嵌工艺制造半导体器件和含连通孔的制品的方法
US7964470B2 (en) * 2006-03-01 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible processing method for metal-insulator-metal capacitor formation
JP4728153B2 (ja) * 2006-03-20 2011-07-20 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5103006B2 (ja) * 2006-11-16 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4901453B2 (ja) * 2006-12-20 2012-03-21 東芝ディスクリートテクノロジー株式会社 半導体発光素子
KR100922989B1 (ko) * 2007-04-25 2009-10-22 주식회사 하이닉스반도체 플래시 메모리 소자 및 그것의 제조방법
US7884019B2 (en) * 2007-06-07 2011-02-08 Texas Instruments Incorporated Poison-free and low ULK damage integration scheme for damascene interconnects
US7456030B1 (en) * 2007-10-11 2008-11-25 National Semiconductor Corporation Electroforming technique for the formation of high frequency performance ferromagnetic films
JP2010050311A (ja) 2008-08-22 2010-03-04 Elpida Memory Inc 半導体装置及びその製造方法
US8421239B2 (en) * 2010-03-16 2013-04-16 International Business Machines Corporation Crenulated wiring structure and method for integrated circuit interconnects
US9860985B1 (en) 2012-12-17 2018-01-02 Lockheed Martin Corporation System and method for improving isolation in high-density laminated printed circuit boards
US9142452B2 (en) 2013-07-22 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal scheme
US10332790B2 (en) 2015-06-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with interconnect structure
US9536826B1 (en) 2015-06-15 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure with interconnect structure
US9570397B1 (en) * 2015-12-10 2017-02-14 International Business Machines Corporation Local interconnect structure including non-eroded contact via trenches
KR102547096B1 (ko) * 2015-12-22 2023-06-26 에스케이하이닉스 주식회사 듀얼다마신구조를 형성하는 방법
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
CN108666274B (zh) * 2017-03-31 2020-10-27 联华电子股份有限公司 半导体存储装置的形成方法
KR102460076B1 (ko) 2017-08-01 2022-10-28 삼성전자주식회사 반도체 장치
KR102356754B1 (ko) * 2017-08-02 2022-01-27 삼성전자주식회사 반도체 장치
TWI642334B (zh) 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法
TWI642333B (zh) * 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法
US10811309B2 (en) * 2018-12-04 2020-10-20 Nanya Technology Corporation Semiconductor structure and fabrication thereof
CN110379762B (zh) * 2019-06-10 2020-05-19 长江存储科技有限责任公司 一种半导体结构及其制作方法
US11018256B2 (en) * 2019-08-23 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Selective internal gate structure for ferroelectric semiconductor devices
US11652049B2 (en) 2021-03-10 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
KR20220135762A (ko) * 2021-03-31 2022-10-07 삼성전기주식회사 인쇄회로기판

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1064995A (ja) * 1996-08-23 1998-03-06 Sony Corp 半導体装置の製造方法
JPH10229122A (ja) * 1997-02-17 1998-08-25 Sony Corp 半導体装置の製造方法
JPH10335456A (ja) * 1997-05-09 1998-12-18 United Microelectron Corp 集積回路の製造方法
JPH11162982A (ja) * 1997-11-27 1999-06-18 Nec Corp 半導体装置の製造方法
JPH11233630A (ja) * 1998-02-18 1999-08-27 Sony Corp 半導体装置の製造方法およびこれを用いた半導体装置
JP2000091425A (ja) * 1998-09-07 2000-03-31 Nec Corp 半導体装置の製造方法
JP2000150519A (ja) * 1998-08-31 2000-05-30 Fujitsu Ltd 半導体装置の製造方法
JP2000195955A (ja) * 1998-10-21 2000-07-14 Tokyo Ohka Kogyo Co Ltd 埋込材およびこの埋込材を用いた配線形成方法
JP2001332618A (ja) * 2000-05-23 2001-11-30 Nec Corp 半導体装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5874201A (en) * 1995-06-05 1999-02-23 International Business Machines Corporation Dual damascene process having tapered vias
JP3399252B2 (ja) * 1996-10-03 2003-04-21 ソニー株式会社 半導体装置の製造方法
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
JPH10242271A (ja) * 1997-02-28 1998-09-11 Sony Corp 半導体装置及びその製造方法
US6042999A (en) * 1998-05-07 2000-03-28 Taiwan Semiconductor Manufacturing Company Robust dual damascene process
TW383463B (en) * 1998-06-01 2000-03-01 United Microelectronics Corp Manufacturing method for dual damascene structure
US6326296B1 (en) * 1998-07-01 2001-12-04 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure with improved contact/via edge integrity
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR20000042812A (ko) * 1998-12-26 2000-07-15 윤종용 반도체 장치의 제조 방법
US6184142B1 (en) * 1999-04-26 2001-02-06 United Microelectronics Corp. Process for low k organic dielectric film etch
US6461955B1 (en) * 1999-04-29 2002-10-08 Texas Instruments Incorporated Yield improvement of dual damascene fabrication through oxide filling
US6096595A (en) * 1999-05-12 2000-08-01 Taiwan Semiconductor Manufacturing Company Integration of a salicide process for MOS logic devices, and a self-aligned contact process for MOS memory devices
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
KR20010019643A (ko) * 1999-08-28 2001-03-15 윤종용 저유전율 절연막을 갖는 다층 금속배선의 형성방법
US6429119B1 (en) * 1999-09-27 2002-08-06 Taiwan Semiconductor Manufacturing Company Dual damascene process to reduce etch barrier thickness
JP2001102447A (ja) * 1999-09-30 2001-04-13 Mitsubishi Electric Corp コンタクト構造の製造方法
US6319814B1 (en) * 1999-10-12 2001-11-20 United Microelectronics Corp. Method of fabricating dual damascene
US6211061B1 (en) * 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
KR20010046918A (ko) * 1999-11-16 2001-06-15 박종섭 이중 상감 금속 배선의 형성 방법
JP2001168188A (ja) * 1999-12-06 2001-06-22 Sony Corp 半導体装置の製造方法
US6319821B1 (en) * 2000-04-24 2001-11-20 Taiwan Semiconductor Manufacturing Company Dual damascene approach for small geometry dimension
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US6316351B1 (en) * 2000-05-31 2001-11-13 Taiwan Semiconductor Manufacturing Company Inter-metal dielectric film composition for dual damascene process
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US6365508B1 (en) * 2000-07-18 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Process without post-etch cleaning-converting polymer and by-products into an inert layer
US6475810B1 (en) * 2000-08-10 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Method of manufacturing embedded organic stop layer for dual damascene patterning
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6797633B2 (en) * 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
TW468241B (en) * 2000-11-14 2001-12-11 United Microelectronics Corp Method to improve adhesion of dielectric material of semiconductor

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1064995A (ja) * 1996-08-23 1998-03-06 Sony Corp 半導体装置の製造方法
JPH10229122A (ja) * 1997-02-17 1998-08-25 Sony Corp 半導体装置の製造方法
JPH10335456A (ja) * 1997-05-09 1998-12-18 United Microelectron Corp 集積回路の製造方法
JPH11162982A (ja) * 1997-11-27 1999-06-18 Nec Corp 半導体装置の製造方法
JPH11233630A (ja) * 1998-02-18 1999-08-27 Sony Corp 半導体装置の製造方法およびこれを用いた半導体装置
JP2000150519A (ja) * 1998-08-31 2000-05-30 Fujitsu Ltd 半導体装置の製造方法
JP2000091425A (ja) * 1998-09-07 2000-03-31 Nec Corp 半導体装置の製造方法
JP2000195955A (ja) * 1998-10-21 2000-07-14 Tokyo Ohka Kogyo Co Ltd 埋込材およびこの埋込材を用いた配線形成方法
JP2001332618A (ja) * 2000-05-23 2001-11-30 Nec Corp 半導体装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7183195B2 (en) 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US7061112B2 (en) 2002-12-27 2006-06-13 Fujitsu Limited Semiconductor device including an electrical contact connected to an interconnection
JP2005328065A (ja) * 2004-05-14 2005-11-24 Sharp Corp デュアルダマシン配線の形成方法
JP2009514213A (ja) * 2005-10-31 2009-04-02 スパンジョン・リミテッド・ライアビリティ・カンパニー 犠牲マスキング構造を用いた半導体装置の製造方法
JP2008047582A (ja) * 2006-08-11 2008-02-28 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2011165924A (ja) * 2010-02-10 2011-08-25 Mitsubishi Electric Corp 半導体装置
JP2012015197A (ja) * 2010-06-29 2012-01-19 Tokyo Electron Ltd 半導体装置の配線形成方法、半導体装置の製造方法および半導体装置の配線形成システム

Also Published As

Publication number Publication date
JP4858895B2 (ja) 2012-01-18
US20020008323A1 (en) 2002-01-24
KR20020009381A (ko) 2002-02-01
US20050001323A1 (en) 2005-01-06
US7119009B2 (en) 2006-10-10
KR100649410B1 (ko) 2006-11-24
US6787907B2 (en) 2004-09-07
TW471045B (en) 2002-01-01

Similar Documents

Publication Publication Date Title
JP4858895B2 (ja) 半導体装置の製造方法
US7141882B2 (en) Semiconductor wafer device having separated conductive patterns in peripheral area and its manufacture method
KR100382729B1 (ko) 반도체 소자의 금속 컨택 구조체 및 그 형성방법
JP4118029B2 (ja) 半導体集積回路装置とその製造方法
US20050161825A1 (en) Semiconductor device
JP4050876B2 (ja) 半導体集積回路装置とその製造方法
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
JP2005050903A (ja) 半導体装置およびその製造方法
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
JPH11186391A (ja) 半導体装置およびその製造方法
JP2002299436A (ja) 半導体装置およびその製造方法
KR100539444B1 (ko) 반도체 소자의 금속배선 형성방법
JP5104924B2 (ja) 半導体装置
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
JP4211910B2 (ja) 半導体装置の製造方法
JP2002373893A (ja) パッドを有する半導体装置とその製造方法
US7084057B2 (en) Bit line contact structure and fabrication method thereof
KR101021176B1 (ko) 반도체 소자의 금속배선 형성방법
KR101005737B1 (ko) 반도체 소자의 금속배선 형성방법
JP2000306995A (ja) 半導体装置及びその製造方法
KR20090080281A (ko) 반도체 소자의 제조 방법
KR100485391B1 (ko) 반도체 장치의 금속배선 형성방법
KR100703097B1 (ko) 반도체 장치
JP2005057063A (ja) 電子デバイス及びその製造方法
JP2002190517A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060825

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060825

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111018

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111025

R150 Certificate of patent or registration of utility model

Ref document number: 4858895

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141111

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term