JP2001509440A - 可撓膜を有するケミカルメカニカルポリシングシステム用キャリヤヘッド - Google Patents

可撓膜を有するケミカルメカニカルポリシングシステム用キャリヤヘッド

Info

Publication number
JP2001509440A
JP2001509440A JP2000501870A JP2000501870A JP2001509440A JP 2001509440 A JP2001509440 A JP 2001509440A JP 2000501870 A JP2000501870 A JP 2000501870A JP 2000501870 A JP2000501870 A JP 2000501870A JP 2001509440 A JP2001509440 A JP 2001509440A
Authority
JP
Japan
Prior art keywords
carrier head
drive shaft
coupled
chamber
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000501870A
Other languages
English (en)
Other versions
JP4413421B2 (ja
Inventor
イリヤ ペルロフ,
ユージーン ギャントファルク,
セン−ホウ コ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001509440A publication Critical patent/JP2001509440A/ja
Application granted granted Critical
Publication of JP4413421B2 publication Critical patent/JP4413421B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • B24B37/32Retaining rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B47/00Drives or gearings; Equipment therefor
    • B24B47/10Drives or gearings; Equipment therefor for rotating or reciprocating working-spindles carrying grinding wheels or workpieces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B47/00Drives or gearings; Equipment therefor
    • B24B47/10Drives or gearings; Equipment therefor for rotating or reciprocating working-spindles carrying grinding wheels or workpieces
    • B24B47/12Drives or gearings; Equipment therefor for rotating or reciprocating working-spindles carrying grinding wheels or workpieces by mechanical gearing or electric power
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/16Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the load

Abstract

(57)【要約】 ベースに結合されて第1チャンバ、第2チャンバ、および第3チャンバを画成する可撓部材を有するキャリヤヘッド。可撓部材の下面は、第1チャンバに関連する内側部分、その内側部分を囲むとともに第2チャンバに関連する実質的に環状の中間部分、およびその中間部分を囲むとともに、第3チャンバに関連する実質的に環状の外側部分を有する基板受け面を提供する。外側部分の幅は中間部分の幅よりも明らかに小さくてよい。キャリヤヘッドはまた、駆動軸に結合されたフランジと、そのフランジをベースにピボット式に結合するジンバルとを含んでもよい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
発明の背景 本発明は一般に、基板のケミカルメカニカルポリシングに関し、よ
り詳細には、ケミカルメカニカルポリシングシステム用のキャリヤヘッドに関す
る。
【0002】
【従来の技術】
集積回路は通常、導電層、半導電層または絶縁層の連続的な堆積によって、基
板、特にシリコンウエハ上に形成される。各層が堆積された後、その層がエッチ
ングされて回路の表面構造(features)が作られる。一連の層は連続的に堆積され
てエッチングされるので、基板の外面または最上面、すなわち基板の露出面は次
第に平坦性が失われる。この平坦性を失った外面は集積回路メーカーに一つの問
題を提起する。基板の外面の平坦性が失われると、その上に置かれたフォトレジ
スト層も平坦性が失われる。フォトレジスト層は通常、フォトレジスト上に光画
像の焦点を結ぶフォトリトグラフ装置によってパターン形成されている。基板の
外面が充分に平坦性を失った場合、外面の山と谷の間の最大高度差は、画像装置
の焦点深度を超えるかもしれないし、外側基板面上に正しく光画像の焦点を結ぶ
ことが不可能になるだろう。
【0003】 改善された焦点深度を持つ新フォトリトグラフ装置を設計するのは極めて高く
つくだろう。更に、集積回路に用いられる表面構造のサイズが小さくなるに従っ
て、より短い光波長を使用しなければならず、使用可能な焦点深度の更なる削減
を招くことになる。
【0004】 従って、基板表面を定期的に平坦化して実質的に平坦層表面を提供するニーズ
がある。
【0005】 ケミカルメカニカルポリシング(CMP)は、一般的に是認された、平坦化の
一方法である。この平坦化方法は通常、基板をキャリヤまたは研磨パッドに取り
付けることを要求する。基板の露出面は、従って、回転する研磨パッドに接して
配置される。キャリヤは制御可能な負荷、すなわち圧力を基板に対して提供して
、基板を研磨パッドに押し付ける。更に、キャリヤは、基板と研磨パッド間の追
加の動きを与えるために回転してもよい。研磨剤と少なくとも一つの化学的反応
剤とを含む研磨スラリを研磨パッド上に散布して、研磨性の化学溶液をパッドと
基板間の境界面に供給してもよい。
【0006】 CMPプロセスはかなり複雑で、簡単なウェットサンディングとは異なる。C
MPプロセスでは、スラリ中の反応剤が基板の外面と反応して反応サイトを形成
する。この反応サイトに対する研磨パッドと砥粒の相互作用が研磨をもたらす。
【0007】 効果的なCMPプロセスは高い研磨レートを持たなければならないし、仕上げ
られて(小規模の粗さがない)平らな(大規模なトポグラフィーがない)基板表
面を作り出さなければならない。研磨レート、仕上げおよび平坦度は、パッドと
スラリの組合せ、基板とパッド間の相対速度、および基板をパッドに押し付ける
力によって決定される。不十分な平坦度と仕上げは不良基板を作ることがあるの
で、研磨パッドとスラリの組合せの選択は通常、要求される仕上げと平坦度によ
って決まる。これらの制約を条件として、研磨レートがその研磨装置の最大スル
ープットを設定する。
【0008】 研磨レートは、基板がパッドに押し付けられる力に依存する。具体的には、こ
の力が大きいほど、研磨レートは大きくなる。キャリヤヘッドが不均一な負荷を
加えた場合、すなわちキャリヤヘッドが基板の或る領域に対して別の領域よりも
大きな力を加えた場合、その高圧領域は低圧領域よりも早く研磨されるだろう。
従って、不均一な負荷は基板の不均一な研磨をもたらすかもしれない。
【0009】
【発明が解決しようとする課題】
CMPで遭遇している一つの問題は、基板のエッジが基板の中心部よりもしば
しば異なるレート(通常はより早いが、ときにはより遅いこともある)で研磨さ
れることである。この問題は「エッジ効果」と呼ばれ、負荷が基板に均一に加え
られる場合でも発生することがある。エッジ効果は通常、基板の周辺部分、例え
ば、基板の最も外側の5ないし10ミリメートルで発生する。エッジ効果は基板
の総合的平坦度を減少させ、基板の周辺部分を集積回路での使用に不向きにし、
歩留まりを減少させる。
【0010】 従って、研磨スループットを最適化すると同時に所望の平坦度と仕上げを提供
するCMP装置に対するニーズが存在する。具体的には、CMP装置は、実質的
に均一な基板の研磨を提供するキャリヤヘッドを持たなければならない。
【0011】
【課題を解決するための手段】
一局面では、本発明は、ケミカルメカニカルポリシングシステムで使用される
キャリヤヘッドに向けられる。キャリヤヘッドはベースと、ベースに結合されて
第1チャンバ、第2チャンバおよび第3チャンバを画成する可撓部材とを備えて
いる。可撓部材の下面は、第1チャンバと関連する内側部分、その内側部分を囲
むとともに第2チャンバに関連する実質的に環状の中間部分、およびその中間部
分を囲むとともに第3チャンバに関連する実質的に環状の外側部分を持った基板
受け面を提供する。可撓部材の内側、中間、および外側部分に対する圧力は独立
に制御できる。
【0012】 本発明の実施例は下記を含んでもよい。外側部分の幅は中間部分の幅よりも明
らかに小さくする。外側部分は100mmにほぼ等しいかそれ以上、例えば15
0mmの外径を持ち、外側部分の幅は約4mmと20mmの間、例えば10mm
とする。可撓部材は内側環状フラップ、中間環状フラップ、および外側環状フラ
ップを含み、各フラップはベースの下面に固定されて第1、第2および第3チャ
ンバを画成する。
【0013】 別の局面では、キャリヤヘッドは、駆動軸に取り付けられたフランジ、ベース
、フランジをベースにピボット式に結合するジンバル、およびベースに結合され
るとともにチャンバを画成する可撓部材を備えている。可撓部材の下面は基板受
け面を提供する。ジンバルは、ベースに結合された内側レース、フランジに結合
されてその間に隙間を画成する外側レース、および隙間内に配置された複数のベ
アリングを含む。
【0014】 本発明の実施例は下記を含んでもよい。スプリングが内側レースと外側レース
を付勢してベアリングと接触させ、環状リテーナがベアリングを保持する。複数
のピンはフランジ部分の通路を通って垂直に延びて、各ピンの上端が駆動軸の凹
部にはまるとともに各ピンの下端がベース部分の凹部にはまって駆動軸からのト
ルクをベースに伝達するようにする。保持リングをベースに結合して、基板受け
面と共に、基板受け凹部を画成するようにする。
【0015】 別の局面では、本発明はケミカルメカニカルポリシングシステムで使用される
アセンブリに向けられる。アセンブリは、駆動軸、駆動軸に摺動可能に結合され
たカップリング、駆動軸の下端に固定されて駆動軸と共に回転するキャリヤヘッ
ド、駆動軸の上端に連結されて駆動軸とキャリヤヘッドの垂直位置を制御する垂
直アクチュエータ、およびカップリングに連結されてカップリングを回転させ、
トルクを駆動軸に伝達するモータを備えている。
【0016】 本発明の実施例は下記を含んでもよい。駆動軸は駆動軸ハウジングを通って延
びて、垂直アクチュエータとモータとは駆動軸ハウジングに固定される。カップ
リングは、駆動軸の上端を囲む上部回転リングと駆動軸の下端を囲む下部回転リ
ング、ならびに上部回転リングを駆動軸ハウジングに結合する第1ベアリングと
下部回転リングを駆動軸ハウジングに結合する第2ベアリングを含んでいてもよ
い。上部と下部の回転リングはスプラインナットで、駆動軸はスプラインシャフ
トであってもよい。
【0017】 別の局面では、本発明は、駆動軸、駆動軸の上端を横方向に固定する第1ボー
ルベアリングアセンブリ、駆動軸の下端を横方向に固定する第2ボールベアリン
グアセンブリ、および駆動軸の下端にジンバルによって結合されるキャリヤヘッ
ドを備えた、ケミカルメカニカルポリシングシステムで使用されるキャリヤヘッ
ドアセンブリに向けられる。ジンバルは、キャリヤヘッドが駆動軸に対してピボ
ット回転できるようにする。第1ボールベアリングアセンブリと第2ボールベア
リングアセンブリ間の距離は、ジンバルを介して伝達される横方向力が駆動軸を
実質的にピボット回転させないように充分離れている。
【0018】 別の局面では、キャリヤヘッドアセンブリは、駆動軸と、駆動軸の下端に結合
されたキャリヤヘッドとを備えている。駆動軸は、ボアと、ボア内に配置されて
中央通路および中央通路を囲む少なくとも一つの環状通路を画成する少なくとも
一つの円筒チューブとを含む。キャリヤヘッドは複数のチャンバを含み、各チャ
ンバは通路の一つに結合されている。
【0019】 本発明の実施例は下記を含んでいてもよい。駆動軸はボア内に配置されて3つ
の同心の通路を画成する2つの同心チューブを含み、各通路はチャンバの一つに
結合されている。回転ユニオンは、複数の圧力源を複数の通路のそれぞれ一つに
連結してもよい。
【0020】 別の局面では、本発明は、第1、第2および第3の独立加圧式チャンバ、第1
チャンバに関連して第1圧力を基板の中央部分に加える可撓内側部材、第2チャ
ンバに関連するとともに内側部材を囲んで第2圧力を基板の中間部分に加える実
質的に環状の可撓中間部材、および第3チャンバに関連するとともに中間部材を
囲んで第3圧力を基板の外側部分に加える実質的に環状の可撓外側部材を備えた
キャリヤヘッドに向けられる。外側部材は中間部材よりも実質的に幅が狭い。
【0021】 本発明の利点は下記を含む。キャリヤヘッドは制御可能な負荷を基板の異なる
部分に加えて研磨を均一に改善する。キャリヤヘッドは基板を真空チャックして
それを研磨パッドから離して持ち上げることができる。キャリヤヘッドは少数の
可動部品しか含まないので、小型で、整備が容易である。
【0022】 本発明のその他の利点と特徴は、図面および請求の範囲を含めて下記の説明か
ら明らかになるであろう。
【0023】
【発明の実施の形態】
図1によれば、一個以上の基板10がケミカルメカニカルポリシング(CMP
)装置20によって研磨される。CMP装置20の完全な説明は、1996年1
0月27日出願の、Perlov 他による米国特許出願第 08/549,336 号、発明の名 称「ケミカルメカニカルポリシングのための連続加工システム」(本発明の譲受
人に譲渡済)に記載されており、同出願のすべての開示は引用によって本明細書
に組み込まれている。
【0024】 CMP装置20は、テーブルトップ23が取り付けられた下部機械ベース22
と、取り外し可能な上部外側カバー(図示せず)とを含む。テーブルトップ23
は、一連の研磨ステーション25a、25b、25c、および移動ステーション
27を支持する。移動ステーション27は、3つの研磨ステーション25a、2
5b、25cを持ったほぼ正方形の構成を形成する。移動ステーション27は、
個々の基板10を装填装置(図示せず)から受け取ること、基板を洗浄すること
、基板をキャリヤヘッドに載せること(以下に説明する)、基板をキャリヤヘッ
ドから受け取ること、基板を再び洗浄すること、および最後に基板を装填装置に
送り返すこと、といった多数の機能を果たす。
【0025】 各研磨ステーション25a〜25cは研磨パッド32を載せるための回転式プ
ラテン30を含む。基板10が直径8インチ(200mm)のディスクの場合、
プラテン30と研磨パッド32は直径が約20インチになるだろう。プラテン3
0は、ステンレス鋼のプラテン駆動軸(図示せず)によってプラテン駆動モータ
(これも図示せず)に結合された回転式のアルミニウムまたはステンレス鋼プレ
ートでよい。大部分の研磨工程では、駆動モータはプラテン30を約30ないし
200rpmで回転させるが、より低い回転速度でも、より高い速度でも使用で
きる。
【0026】 研磨パッド32は凹凸のある研磨面を持つ複合材料でよい。研磨パッド32は
感圧接着剤層によってプラテン30に取り付けてもよい。研磨パッド32は厚さ
50ミルの硬い上部層と厚さ50ミルの軟らかい下部層とを持ってもよい。上部
層は増量剤を混合したポリウレタンでよい。下部層はウレタンで浸した圧縮フェ
ルト繊維から構成してもよい。上部層はIC−1000からなり、下部層はSU
BA−4からなる通常の2層研磨パッドが、デラウェア州ニューアークにある R
odel, Inc. から入手できる(IC−1000およびSUBA−4は Rodel, Inc
. の製品名である)。
【0027】 各研磨ステーション25a〜25cは更に、関連パッドコンディショナ装置4
0を含む。各パッドコンディショナ装置40は回転式アーム42を持ち、独立回
転式コンディショナヘッド44と関連洗浄盤46とを保持する。コンディショナ
装置40は、回転中にそれに押し付けられたすべての基板を効果的に研磨するよ
うに、研磨パッドの状態を維持する。
【0028】 反応剤(例えば、酸化研磨用の脱イオン水)、砥粒(例えば、酸化研磨用の二
酸化珪素)および化学反応触媒(例えば、酸化研磨用の水酸化カリウム)を含む
スラリ50は、プラテン30の中心部のスラリ供給ポート52によって研磨パッ
ド32の表面に供給される。充分なスラリを供給して、研磨パッド32全体を覆
って湿らせる。オプションの中間洗浄ステーション55a、55b、55cを近
くの研磨ステーション25a、25b、25cおよび移動ステーション27の間
に配置してもよい。洗浄ステーションを設けて、基板が研磨ステーションから研
磨ステーションへと通過するにつれて基板をリンスするようにする。
【0029】 回転式マルチヘッドカルーセル60は、下部機械ベース22の上に配置される
。カルーセル60は中央ポスト62によって支持され、ベース22内に配置され
たカルーセルモータアセンブリによってカルーセル軸64のまわりにポストの上
で回動する。中央ポスト62はカルーセル支持プレート66とカバー68とを支
持する。カルーセル60は4つのキャリヤヘッドアセンブリ70a、70b、7
0c、70dを含む。キャリヤヘッドアセンブリの内の3つは基板を受けて保持
し、研磨ステーション25a〜25cのプラテン30上でそれらを研磨パッド3
2に押し付けることによって研磨する。キャリヤヘッドアセンブリの中の一つは
移動ステーション27から基板を受け取って、それを移動テーブル27に渡す。
【0030】 4つのキャリヤヘッドアセンブリ70a〜70dは、カルーセル支持プレート
66の上に、カルーセル軸のまわりに等角度間隔で取り付けられる。中心ポスト
62によってカルーセルモータはカルーセル支持プレート66を回転させるとと
もに、キャリヤヘッドシステム70a〜70dとそれらに取り付けられた基板と
をカルーセル軸64のまわりに旋回させることができる。
【0031】 各キャリヤヘッドシステム70a〜70dは、キャリヤヘッド200、3つの
空気圧アクチュエータ74(図2A、2B参照)、およびキャリヤモータ76(
カバー68と空気圧アクチュエータ74の1/4を取り外すことによって示され
る)を含む。各キャリヤヘッド200はそれ自体の軸のまわりに独立に回転し、
半径方向スロット72の中で独立に横方向に振動する。カルーセル支持プレート
66には4つの半径方向スロット72があり、ほぼ半径方向に延びて90°間隔
で配置される。各キャリヤ駆動モータ76は、半径方向スロット72を通ってキ
ャリヤヘッド200まで延びるキャリヤ駆動軸アセンブリ78に結合される。各
ヘッドに対して一つずつのキャリヤ駆動軸アセンブリとモータがある。
【0032】 実際の研磨中、キャリヤヘッドの中の3つ、例えば、キャリヤヘッドアセンブ
リ70a〜70cのキャリヤヘッドがそれぞれの研磨ステーション25a〜25
cの位置で、その上方に配置される。空気圧アクチュエータはキャリヤヘッド2
00とそれに取り付けられた基板とを下降させて研磨パッド32に接触させる。
スラリ50は基板ウエハのケミカルメカニカルポリシング用の触媒として働く。
一般に、キャリヤヘッド200は基板を研磨パッドに接して保持して、基板の背
面全体に下向きの圧力を均等に分配する。キャリヤヘッドはまた、駆動軸アセン
ブリ78から基板までトルクを伝達して、研磨中、基板がキャリヤヘッドの下か
ら決して外れないようにする。
【0033】 カルーセル60のカバー68を取り除いた図2Aによれば、カルーセル支持プ
レート66は4つの支持スライド80を支持する。カルーセル支持プレート66
に固定された2本のレール82が各スロット74を挟んで配置される。各スライ
ド80は2本のレール82の上に跨がって、スライド80が関連する半径方向ス
ロット72に沿って自由に動けるようにする。
【0034】 レール82の一本の外側端に固定されたベアリング止め84は、スライド80
が偶発的にレールの端部から抜け落ちるのを防止する。各スライド80は、図示
されないねじ付キャビティ、またはスライドにその遠方端近くで固定されるナッ
トを含む。ねじ付キャビティまたはナットには、カルーセル支持プレート66に
取り付けられたスライド半径方向振動モータ88によって駆動されるウォームギ
ヤの親ねじ86がはまっている。モータ88がその親ねじ86を回転させると、
スライド80は半径方向に動く。4つのモータ88を独立に作動させて、4つの
スライド80を半径方向スロット72に沿って独立に動かすことができる。
【0035】 図2A、2Bによれば、3つの空気圧アクチュエータ74が各スライド80に
取り付けられる。3つの空気圧アクチュエータ74はアーム130(図2Aに想
像線で示す)によってキャリヤ駆動軸アセンブリ78に結合される。各空気圧ア
クチュエータ74はアーム130のコーナーの垂直位置を制御する。空気圧アク
チュエータ74は共通の制御システムに結合されて同一の垂直運動を受けるので
、アーム130は実質的に水平な位置を保つ。
【0036】 図3によれば、各キャリヤヘッドアセンブリ70a〜70dは、前述のキャリ
ヤヘッド200、空気圧アクチュエータ74(断面図のために1個のみを図示)
、キャリヤモータ76、および駆動軸アセンブリ78を含む。駆動軸アセンブリ
78は、スプラインシャフト92、上部スプラインナット94、下部スプライン
ナット96、およびアダプタフランジ150を含む。各キャリヤヘッドアセンブ
リ70a〜70dは更に、駆動軸ハウジング90を含む。キャリヤモータ76は
駆動軸ハウジング90に固定してもよいし、空気圧アクチュエータ74と駆動軸
ハウジング90とをスライド80に固定してもよい。その他に、キャリヤモータ
76、空気圧アクチュエータ74、および駆動軸ハウジング70をキャリヤ支持
プレート(図示せず)に固定してもよいし、キャリヤ支持プレートをスライド8
0に取り付けてもよい。駆動軸ハウジング90は一対の上部ボールベアリング1
00、102によって上部スプラインナット94を保持する。同様に、下部スプ
ラインナット96が一対の下部ボールベアリング104、106によって保持さ
れる。ボールベアリングによって、スプラインシャフト92と、スプラインナッ
ト94、96は、スプラインナット96、94を垂直固定位置に保ちながら、駆
動軸ハウジング90に対して回転することができる。円筒チューブ108をボー
ルベアリング102と104の間に配置して、上部スプラインナット94を下部
スプラインナット96に結合してもよい。スプラインシャフト92はスプライン
ナット94、96を貫通して、キャリヤヘッド200を支持する。スプラインナ
ット94と96はスプラインシャフト92を横方向固定位置に保持するが、スプ
ラインシャフト92の垂直方向の摺動を許す。アダプタフランジ150はスプラ
インシャフト92の下端に固定される。上部ボールベアリング100、102と
下部ボールベアリング104、106間の距離は、スプラインシャフトがキャリ
ヤヘッドから加えられた側方負荷によってピボット回転するのを実質的に防止す
るように充分離れている。更に、ボールベアリングが低摩擦の回転連結を提供す
る。組み合わされて、ボールベアリングとスプラインシャフトとは、スプライン
ナットが側方負荷によって駆動軸ハウジングに摩擦的に「固着」するのを防止す
るのに役立つ。
【0037】 図4によれば、スプラインシャフト92の外側円筒面110は、スプラインナ
ット96の内側円筒面の対応する凹部116にはまる3つ以上の突起またはタブ
112を含む。かくして、スプラインシャフト92は回転式に固定されるが、ス
プラインナット96に対して垂直方向に自由に動くことができる。適当なスプラ
インシャフトアセンブリは、日本の東京に所在する THK Company, Limited から
入手できる。
【0038】 図3に戻って説明すると、第1ギヤ120は、駆動軸ハウジング90の上に突
出した上部スプラインナット94の一部に結合される。第2ギヤ122はキャリ
ヤモータ76によって駆動されて、第1ギヤ120と噛み合わされる。かくして
、キャリヤモータ76は第2ギヤを駆動し、第2ギヤは第1ギヤ120を駆動し
、第1ギヤは上部スプラインナット94を駆動し、上部スプラインナットは次に
、スプラインシャフト92とキャリヤヘッド200を駆動することができる。ギ
ヤ120と122をハウジング124で囲んで、それらをスラリその他の、ケミ
カルメカニカルポリシング装置からの汚染物質から保護することができる。
【0039】 キャリヤモータ76は駆動軸ハウジング90またはキャリヤ支持プレートに取
り付けることができる。キャリヤモータ76はカルーセル支持プレート66(図
2B参照)のアパーチャを通って延びていてもよい。利用可能なスペースを最大
に利用して研磨装置のサイズを減少させるために、キャリヤモータ76を半径方
向スロット72内で駆動軸アセンブリ78に隣接して配置することが有利である
。スプラインガード126をカルーセル支持プレート66の下側に結合して、ス
ラリがキャリヤモータ76を汚染しないようにしてもよい。
【0040】 アーム130はスプラインシャフト92に結合される。アーム130は、円形
アパーチャ136を含み、スプラインシャフト92は上部スプラインナット94
の上に、アーム130のアパーチャ136を通って突出する。アーム130は上
部リングベアリング132と下部リングベアリング143でスプラインシャフト
92を保持する。リングベアリング132と134の内側レースは、スプライン
シャフト92に固定され、リングベアリングの外側レースはアーム130に固定
される。かくして、空気圧アクチュエータ74が、アーム130を上昇または下
降させると、スプラインシャフト92とキャリヤヘッド200は、同様の動きを
受ける。研磨パッド32の表面に接して基板10に負荷をかけるために、空気圧
アクチュエータ74は、基板が研磨パッドに押し付けられるまで、キャリヤヘッ
ド200を下降させる。空気圧アクチュエータ74は、研磨ステーション25a
〜25cと移動ステーション27の間で基板が移動する間、研磨パッド32から
離して持ち上げられるように、キャリヤヘッド200の垂直位置も制御する。
【0041】 基板は通常、主研磨ステップに続く仕上げ研磨ステップを含めて、多数の研磨
ステップを受ける。通常はステーション25aで行われる主研磨ステップでは、
研磨装置は約4ないし10ポンド/平方インチ(psi)の力を基板に加えるこ
とができる。その後のステーションでは、研磨装置は同程度の力が加えられる。
例えば、通常はステーション25cで行われる仕上げ研磨ステップでは、キャリ
ヤヘッド200は約3psiの力を加えることができる。キャリヤモータ76は
キャリヤヘッド200を約30ないし200rpmで回転させる。プラテン30
とキャリヤヘッド200は実質的に同一速度で回転してもよい。
【0042】 図3、4によれば、ボア142はスプラインシャフト92の長さ全体に形成さ
れる。2つの円筒チューブ144a、144bはボア142内に配置されて、例
えば、3つの同心円筒チャネルを作る。かくして、スプラインシャフト92は、
例えば外側チャネル140a、中間チャネル140b、および内側チャネル14
0cを含むことができる。各種ストラットまたはクロスピース(図示せず)を使
って、チューブ144aと144bとをボア142の内部に適切に保持できる。
スプラインシャフト92の上部の回転カップリング146は、3つの流体管路1
48a、148b、148cを3つのチャネル140a、140b、149cに
それぞれ連結する。3つのポンプ149a、149b、149cを流体管路14
0a、140b、140cにそれぞれ結合してもよい。チャネル140a〜14
0cとポンプ149a〜149cを用いて、以下に、より詳しく説明するように
、キャリヤヘッド200に空気圧を供給するとともに、基板をキャリヤヘッド2
00の底部に真空チャックしてもよい。
【0043】 図5によれば、アダプタフランジ150はスプラインシャフト92の底部に取
り外し可能に結合されている。アダプタフランジ150は、ベース152と円形
壁154とを有するほぼ椀状の本体である。3つの通路156a〜156c(通
路156aはこの断面図で想像線で示す)はアダプタフランジ150のベース1
52の上面158から下面160まで延びる。ベース152の上面158は円形
凹所162を含み、その下面は下部ハブ部分164を含んでもよい。スプライン
シャフト92の最下端は円形凹所162にはまっている。
【0044】 ほぼ環状のコネクタフランジ170をスプラインシャフト92の下部に結合し
てもよい。コネクタフランジ170は2つの通路172aと172b(通路17
2bはこの断面図で想像線で示す)を含む。2つの水平通路174aと174b
はスプラインシャフト92を通って延びて、チャネル140aと140bとを通
路172aと172bに結合する。
【0045】 アダプタフランジ150をスプラインシャフト92に結合するために、3本の
ダウエルピン180(断面図のために一本のみを図示)がアダプタフランジ15
0の上面158の合わせ凹部182に挿入される。次に、アダプタフランジ15
0を持ち上げて、ダウエルピン180がコネクタフランジ170の合わせ受け凹
部184にはまるようにする。これが、通路172aと172bを通路156a
と156bにそれぞれ円周方向に整合させるとともに、チャネル140cを通路
156cに整合させる。アダプタフランジ150を次に、ねじ(図示せず)でコ
ネクタフランジ170に固定してもよい。
【0046】 アダプタフランジ150の円形壁154は、スラリがスプラインシャフト92
と接触しないようにする。フランジ190を駆動軸ハウジング90に結合し、円
形壁154はフランジ190と駆動軸ハウジング90間の隙間192に突出して
もよい。
【0047】 キャリヤヘッド200はハウジングフランジ202、キャリヤベース204、
ジンバル機構206、保持リング208、および可撓膜210を含む。ハウジン
グフランジ202は駆動軸アセンブリ72の底部でアダプタフランジ150に結
合される。キャリヤベース204はジンバル機構206によってハウジングフラ
ンジ202にピボット式に結合される。キャリヤベース204はまた、アダプタ
フランジ150に結合れて、研磨パッド32の表面に実質的に垂直な回転軸のま
わりにそれと一緒に回転するようにする。可撓膜210はキャリヤベース204
に結合されるとともに、円形中央チャンバ212、中央チャンバ212を囲む環
状中間チャンバ214、および環状中間チャンバ214を囲む環状外側チャンバ
216を含む3つのチャンバを画成する。チャンバ212、214、216の加
圧は、研磨パッド32に対する基板の下向きの圧力を制御する。これらの要素の
それぞれについて、以下に更に詳しく説明する。
【0048】 ハウジングフランジ202は形状がほぼ環状で、アダプタフランジ150とほ
ぼ同一の直径を持ってもよい。ハウジングフランジ202は、キャリヤヘッド2
00の回転軸のまわりに等角度間隔で形成された3つの垂直通路220(断面図
のためにその一つのみを図示)を含む。ハウジングフランジ202はねじ付円筒
ネック260を持ってもよい。
【0049】 キャリヤベース204はハウジングフランジ202の下に配置されたほぼ円板
状の本体である。キャリヤベース204の直径は、研磨される基板の直径よりも
やや大きい。キャリヤベース204の上面222は、環状リム224、環状凹部
226、および凹部226の中心部に配置されるタレット228を含む。キャリ
ヤベース204の底面230は、中間チャンバ214のエッジを画成する環状外
側凹所232を含む。キャリヤベース204の底面230はまた、内側チャンバ
212の天井を画成する浅い環状内側凹所234を含む。
【0050】 キャリヤベース204はまた、タレット228の上面238から下面230ま
で延びる3つの通路236a〜236c(236aはこの断面図で想像線で示す
)を含む。Oリング239が上面238の凹部に挿入されて3つの通路236a
〜236cを囲み、キャリヤヘッド200がアダプタフランジ150に結合され
たときにその通路をシールするようにする。
【0051】 前述のように、キャリヤベース204はジンバル機構206によってハウジン
グフランジ202に結合される。ジンバル機構206によって、キャリヤベース
204はハウジングフランジ202に対してピボット回転できるようになるので
、キャリヤベース204は研磨パッドの表面に実質的に平行な状態を保つことが
できる。具体的には、ジンバル機構は、キャリヤベース204が研磨パッド32
と基板10間の境界面上の一点のまわりに回転できるようにする。しかしながら
、ジンバル機構206はスプラインシャフト92の下にキャリヤベースを保持し
て、キャリヤベース204が横方向、すなわち研磨パッド32の表面に平行に動
くことを防止する。ジンバル機構206はまた、スプラインシャフト92からキ
ャリヤベース204への下向きの圧力を伝達する。更に、ジンバル機構206は
任意の側方負荷、例えば基板と研磨パッド32間の摩擦によって生じた剪断力を
ハウジングフランジ202と駆動軸アセンブリ78に伝達することができる。
【0052】 内方突出リップ242を持つ環状バイアスフランジ240はキャリヤベース2
04に固定される。バイアスフランジ240は環状凹部226内のキャリヤベー
ス204にボルト締めしてもよい。
【0053】 ジンバル機構206は内側レース250、外側レース252、リテーナ254
、および多数のボールベアリング256を含む。12個のボールベアリング25
6があるが、この断面図では2個のみ図示される。内側レース250はキャリヤ
ベース204に固定されるか、その一部として形成され、タレット228に隣接
する凹部226内に配置される。外側レース252はハウジングフランジ202
に固定されるか、その一部として形成され、バイアスフランジ240の内方突出
リップ242の下に延びる外方突出リップ258を含む。環状スプリングワッシ
ャ244が内方突出リップ242と外方突出リップ258の間の隙間にはまって
いる。ワッシャ244は内側レース250と外側レース252を付勢してボール
ベアリング252と接触させる。リテーナ254は複数の円形アパーチャを有す
るほぼ環状の本体である。ボールベアリング256はリテーナ254のアパーチ
ャにはまって、内側レース250と外側レース252間の隙間に適切に保持され
る。
【0054】 キャリヤヘッド200をアダプタフランジ150に結合するために、3本の垂
直トルク伝達ピン262(その一本のみをこの断面図に示す)は、ハウジングフ
ランジ202の通路220を通って、キャリヤベース204またはバイアスフラ
ンジ240の3つの受け凹部262に挿入される。次に、キャリヤヘッド200
を持ち上げることによって、垂直トルク伝達ピン262はアダプタフランジ15
0内の3つの受け凹部266にはめられる。これが、アダプタフランジ150の
通路156a〜156cを通路236a〜236cにそれぞれ整合させる。アダ
プタフランジ150の下部ハブ178はタレット228の上面239と接触する
。最後に、ねじ付周辺ナット268が、アダプタフランジ150のエッジ269
にはまって、ハウジングフランジ202のねじ付ネック260にねじ込まれ、キ
ャリヤヘッド200をアダプタフランジ150と、従って、駆動軸アセンブリ7
8にしっかり固定する。キャリヤベース204のリム224は周辺ナット268
の下面の環状凹部259にはまってもよい。これは、制限された経路を作り、そ
の経路によって、スラリがジンバル機構206またはスプリングワッシャ244
を汚染しないようにする。
【0055】 保持リング208をキャリヤベース204の外側エッジで固定してもよい。保
持リング208は、実質的に平らな底面270を有するほぼ環状のリングである
。空気圧アクチュエータ74がキャリヤヘッド200を下降させると、保持リン
グ208は研磨パッド32と接触する。保持リング208の内面272は、可撓
膜210の底面と共に、基板受け凹部274を画成する。保持リング208は、
基板が基板受け凹部274から逃げないようにするとともに、横方向負荷を基板
からキャリヤベース204に伝達する。
【0056】 保持リング208は硬質プラスチックまたはセラミック材料で製作してもよい
。保持リング208はキャリヤベース204に、例えば、保持ピース206によ
って固定してもよい。保持ピースは、例えば、キャリヤベース204にボルト2
78で固定される。
【0057】 可撓膜210はキャリヤベース204に結合されて、その下に延びる。可撓膜
210の底面は基板受け面280を提供する。ベース204と共に、可撓膜21
0は中央チャンバ212、環状中間チャンバ214、および環状外側チャンバ2
16を画成する。可撓膜210は、高強度シリコンゴムなどの可撓性で弾性の材
料から形成されたほぼ円形のシートである。基板裏当て膜210は内側環状フラ
ップ282a、中間環状プラップ282b、および外側環状フラップ282cを
含む。フラップ282a〜282cはほぼ同心に配置される。フラップ282a
〜282cは3つの独立した可撓膜を積み重ねるとともに、各膜の外側環状部分
を自由に保つように、それらの膜の中央部分を接着することによって形成しても
よい。その他に、可撓膜210全体を単一部品として押出加工してもよい。
【0058】 環状下部フランジ284はキャリヤベース204の底面230上の凹所232
内に固定してもよい。下部フランジ284はその上面に、内側環状溝286と外
側環状溝287とを含む。通路288は下部フランジ282を通って延びて通路
236bに結合してもよい。下部フランジ284はまた、その下面に環状窪み2
89を含んでもよい。内側フラップ282a、中間フラップ282b、および外
側フラップ282cはそれぞれ、突出する外側エッジ290a、290b、29
0cを含んでもよい。可撓膜210をキャリヤベース204に固定するために、
内側フラップ282aを、その突出エッジ290aが内側溝286にはまるよう
に、下部フランジ284の内側エッジに巻き付け、中間フラップ282bを、そ
の突出エッジ290bが外側溝287にはまるように、下部フランジ284の外
側エッジに巻き付ける。次に、下部フランジ284は、キャリヤベース204の
上面222から延びるねじ(図示せず)によって凹所232内に固定される。か
くして、内側と中間のフラップ282aと282bが下部フランジ284とキャ
リヤベース204の間にクランプされて、内側と中間のチャンバ212と214
とをシールする。最後に、外側フラップ282cの外側エッジ290cが保持リ
ング208とキャリヤベース204間にクランプされて外側チャンバ216をシ
ールする。
【0059】 ポンプ149a(図3参照)は、流体管路148a、回転カップリング146
、スプラインシャフト92内の内側チャネル140a、アダプタフランジ150
内の通路(図示せず)、およびキャリヤベース204を通る通路236c(図示
せず)によって内側チャンバ212に結合してもよい。ポンプ149bは、流体
管路148b、回転カップリング146、中間チャネル140b、アダプタフラ
ンジ150内の通路(図示せず)、キャリヤベース204内の通路236b、お
よび下部フランジ284内の通路288によって中間チャンバ214に結合して
もよい。ポンプ149bcは、流体管路148c、回転カップリング146、外
側チャネル140c、アダプタフランジ150内の通路156c、およびキャリ
ヤベース204内の通路236cによって外側チャンバ216に結合してもよい
。ポンプが流体、好ましくは空気などの気体をチャンバの一つに圧送した場合、
そのチャンバの体積が増大して、可撓膜の一部が下方または外方に押し出される
。他方、ポンプが流体をチャンバから減圧排気すると、チャンバの体積が減少し
て、可撓膜の一部は上方または内方に引き込まれる。
【0060】 可撓膜210は、内側チャンバ212、中間チャンバ214、および外側チャ
ンバ216の下にそれぞれ位置する円形内側部分292、環状中間部分294、
および環状外側部分296を含んでもよい(図6参照)。かくして、チャンバ2
12、214、216内の圧力はそれぞれの可撓膜部分292、294、296
によって加えられる下向きの圧力を制御できる。
【0061】 可撓膜部分は異なる寸法を持ってもよい。エッジ効果の大部分は基板の最外部
の6ないし8ミリで発生する。従って、環状外側膜部分296は、基板の中央お
よび中間部分に加わる圧力から無関係の基板のエッジにおける狭いエッジ領域の
圧力制御を提供するために、環状中間膜部分294に比べて半径方向がかなり狭
くてもよい。
【0062】 図6によれば、内側膜部分292は半径R1 を持ち、中間膜部分294は外径
2 を持ち、外側膜部分296は外径R3 を持つことができる。中間膜部分29
4の幅W1 はR2 −R1 に等しく、外側膜部分296の幅W2 はR3 −R2 に等
しくてもよい。半径R3 は(直径200mmの基板に対して)約100mmに等
しいかそれより大きく、幅W2 は5と30ミリの間でよい。半径R3 が(直径3
00mmの基板に対して)5.875インチの場合、幅W1 とW2 はそれぞれ2
.375インチと0.625インチでよい。この構造では、半径R1 とR2 はそ
れぞれ2.875と5.25インチである。
【0063】 チャンバ212、214、216内の圧力は、基板10の研磨の均一性を最大
にするために、ポンプ149a、149b、149cによって独立に制御できる
。外側チャンバ216内の平均圧力を他の2つのチャンバ内の平均圧力よりも低
くして、エッジ効果によって生じる過剰な研磨を補償するために、外側環状膜部
分294に対する圧力が、研磨中、内側膜部分292または中間膜部分294に
対する圧力よりも低くなるようにしてもよい。
【0064】 可撓膜210は基板10の背面に合うように変形する。例えば、基板が反って
いる場合、可撓膜210は事実上、反った基板の外形に一致するだろう。かくし
て、基板の裏側に表面凹凸が存在する場合でも、基板に対する負荷は均一を保つ
はずである。
【0065】 各チャンバに異なる圧力を加えるのではなく、各チャンバに正の圧力が加わる
時間を変更してもよい。この方式によって均一な研磨が達成されるだろう。例え
ば、内側チャンバ212と中間チャンバ214に8.0psiの圧力を加えて外
側チャンバ216に6.0psiの圧力を加えるのではなく、8.0psiの圧
力を内側チャンバ212と中間チャンバ214に1分間加える一方、同一圧力を
外側チャンバ216に45秒間加えるようにしてもよい。この手法によって、圧
力センサと圧力調整器とを、簡単なソフトウェアタイミング制御装置で置き換え
ることができる。更に、この手法によって、より正確な工程の特徴づけと、従っ
て基板研磨におけるより良好な均一性が可能になるだろう。
【0066】 キャリヤヘッド200は基板10を可撓膜210の下側に真空チャックできる
。かくして、中間チャンバ214内の圧力は他のチャンバ内の圧力に比べて削減
され、これによって可撓膜210の中間膜部分294が内方に曲げられる。中間
膜部分294の上向きの撓みは可撓膜210と基板10間に低圧ポケットを作り
出す。この低圧ポケットが基板10をキャリヤヘッドに真空チャックすることに
なる。基板の中心部の曲げを避けるために、内側膜部分293ではなく、基板と
研磨パッド間に低圧ポケットを作り出せる中間膜部分294を使うことは有利で
ある。そのような低圧ポケットも基板を研磨パッドに真空チャックしようとする
だろう。更に、外側チャンバ216内の圧力を増加させる一方、中間チャンバ2
14内の圧力を減少させることもできる。外側チャンバ216内の圧力の増大が
外側膜部分296を基板に押し付けて流体密のシールを効果的に形成する。この
シールによって、周囲の空気が中間膜部分294と基板間の真空に侵入するのを
防止できる。真空ポケットが作られている間に、外側チャンバ216は、例えば
1秒以下の短期間だけ加圧すればよいので、これは最も確実な真空チャックの手
順を提供するように見える。
【0067】 研磨装置20は次のように動作してもよい。基板10は、その裏側を可撓膜2
10に当接した状態で、基板受け凹部274に装填される。ポンプ149aが流
体を外側チャンバ216に送り込む。これによって、外側膜部分296が基板1
0のエッジに流体密シールを形成する。同時に、ポンプ149bが流体を中間チ
ャンバ214から送り出して、可撓膜210と基板10の裏側の間に低圧ポケッ
トを作り出す。外側チャンバ216はその後、早急に正常な大気圧に戻される。
最後に空気圧アクチュエータ74がキャリヤヘッド200を研磨パッド32また
は移動ステーション27から持ち上げる。カルーセル60はキャリヤヘッド20
0を新しい研磨ステーションまで回転させる。空気圧アクチュエータ74は次に
、基板10が研磨パッド32と接触するまで、キャリヤヘッド200を下降させ
る。最後に、ポンプ149a〜149cが流体をチャンバ212、214、21
6に押し込んで、研磨のために基板10に下向きの負荷をかける。
【0068】 本発明を好ましい実施の形態について説明した。しかしながら、本発明は本明
細書に図示説明した実施の形態に限定されない。むしろ、本発明の範囲は添付の
請求の範囲によって定義される。
【図面の簡単な説明】
【図1】 図1は、ケミカルメカニカルポリシング装置の概略分解斜視図である。
【図2】 図2Aは、図1のカルーセルの概略上面図で、上部ハウジングを取り外した状
態である。図2Bは、カルーセル支持プレートの上に配置されたキャリヤヘッド
アセンブリの要部の概略分解斜視図である。
【図3】 図3は、一部は図2Aの3−3線に沿ったキャリヤヘッドアセンブリの断面図
で、一部はCMP装置で使用されるポンプの概略説明である。
【図4】 図4は、図3の4−4線に沿った概略断面図である。
【図5】 図5は、本発明のキャリヤヘッドの拡大図である。
【図6】 図6は、本発明のキャリヤヘッドの概略底面図である。
【符号の説明】
20…CMP装置20、23…テーブルトップ、25a,25b,25c…研
磨ステーション、27…移動ステーション。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/304 622 H01L 21/304 622H (72)発明者 ギャントファルク, ユージーン アメリカ合衆国, カリフォルニア州, サンタ クララ, フォーブス アヴェニ ュー 2679 (72)発明者 コ, セン−ホウ アメリカ合衆国, カリフォルニア州, クパティノ レッド ファー コート 21065 Fターム(参考) 3C034 AA13 BB71 BB73 BB76 3C058 AB04 BA05 BB04 CB01 CB03 CB10 DA12 DA17

Claims (25)

    【特許請求の範囲】
  1. 【請求項1】 ケミカルメカニカルポリシングシステムで使用するキャリヤ
    ヘッドであって、 ベースと、 前記ベースに結合されて第1チャンバ、第2チャンバ及び第3チャンバを画成
    する可撓部材であって、その下面が、前記第1チャンバに関連する内側部分と、
    前記内側部分を囲むとともに前記第2チャンバに関連する実質的に環状の中間部
    分と、前記中間部分を囲むとともに前記第3チャンバに関連する実質的に環状の
    外側部分とを有する基板受け面を提供し、それによって前記可撓部材の前記内側
    、中間、または外側部分のいずれかに対する圧力を独立して制御できるようにし
    た、前記可撓部材と を備えるキャリヤヘッド。
  2. 【請求項2】 前記外側部分の幅が前記中間部分の幅よりも十分に小さい請求項1に記載のキ
    ャリヤヘッド。
  3. 【請求項3】 前記外側部分が、100mmにほぼ等しいかそれより大きい外径を有し、前記
    外側部分の幅が約4mm〜20mmである請求項2に記載のキャリヤヘッド。
  4. 【請求項4】 前記可撓部材の外側部分の幅が約10mmである請求項3に記載のキャリヤヘ
    ッド。
  5. 【請求項5】 前記可撓部材が、内側環状フラップ、中間環状フラップ、および外側環状フラ
    ップを有し、各前記フラップは前記ベースの下面に固定されて前記第1、第2、
    および第3チャンバを画成する請求項1に記載のキャリヤヘッド。
  6. 【請求項6】 ケミカルメカニカルポリシングシステムで使用するキャリヤヘッドであって、
    駆動軸に取付け可能なフランジと、 ベースと、 前記ベースに結合されてチャンバを画成する可撓部材であって、その下面が基
    板受け面を提供する前記可撓部材と、 前記フランジを前記ベースにピボット式に結合するジンバルであって、前記ベ
    ースに結合される内側レースと、前記フランジに結合されてその間に隙間を画成
    する外側レースと、前記隙間に配置された複数のベアリングとを有する前記ジン
    バルと を備えるキャリヤヘッド。
  7. 【請求項7】 更に、前記内側レースと外側レースとを付勢して前記ベアリングと接触させる
    スプリングを備える請求項6に記載のキャリヤヘッド。
  8. 【請求項8】 更に、前記ベアリングを保持する環状リテーナを備える請求項6に記載のキャ
    リヤヘッド。
  9. 【請求項9】 更に、前記駆動軸を前記ベースに結合してトルクを前記駆動軸から前記ベース
    へ伝達する複数のピンを備える請求項6に記載のキャリヤヘッド。
  10. 【請求項10】 各ピンが前記フランジ内の通路を通って垂直に延び、各ピンの上端が前記駆動
    軸の凹部にはめられ各ピンの下端が前記ベースの凹部にはめられる請求項9に記
    載のキャリヤヘッド。
  11. 【請求項11】 更に、前記ベースに結合されて、前記基板受け面と共に基板受け凹部を画成す
    る保持リングを備える請求項6に記載のキャリヤヘッド。
  12. 【請求項12】 ケミカルメカニカルポリシングシステムで使用されるアセンブリであって、 駆動軸と、 前記駆動軸に摺動可能に結合されるカップリングと、 前記駆動軸の下端に固定されて前記駆動軸と共に回転するキャリヤヘッドと、
    前記駆動軸の上端に結合されて前記駆動軸と前記キャリヤヘッドの垂直位置を
    制御する垂直アクチュエータと、 前記カップリングに連結されて前記カップリングを回転させ、トルクを前記駆
    動軸に伝達するモータと を備えるアセンブリ。
  13. 【請求項13】 前記駆動軸が駆動軸ハウジングを通って延びる請求項12に記載のアセンブリ
  14. 【請求項14】 前記垂直アクチュエータと前記モータとが前記駆動軸ハウジングに固定される
    、請求の範囲13項のアセンブリ。
  15. 【請求項15】 前記カップリングが、前記駆動軸の上端を囲む前記回転リングと、前記駆動軸
    の下端を囲む下部回転リングとを有し、更に、前記上部回転リングを前記駆動軸
    ハウジングに回転可能に結合する第1ベアリングと、前記下部回転リングを前記
    駆動軸ハウジングに回転可能に結合する第2ベアリングとを備える請求項13に
    記載のアセンブリ。
  16. 【請求項16】 前記上部と下部の回転リングがスプラインナットであり、前記駆動軸が、前記
    スプラインナットを通って延びるスプラインシャフトである請求項15に記載の
    アセンブリ。
  17. 【請求項17】 前記モータが第1ギヤを回転させ、前記第1ギヤが、前記上部回転リングに結
    合された第2ギヤと噛み合う請求項16に記載のアセンブリ。
  18. 【請求項18】 ケミカルメカニカルポリシングシステムで使用されるキャリヤヘッドアセンブ
    リであって、 駆動軸と、 前記駆動軸の上端を横方向に固定する第1ボールベアリングアセンブリと、 前記駆動軸の下端を横方向に固定する第2ボールベアリングアセンブリと、 前記駆動軸の下端にジンバルによって結合されたキャリヤヘッドであって、前
    記ジンバルは前記キャリヤヘッドが前記駆動軸に対してピボット回転できるよう
    にし、前記第1ボールベアリングアセンブリと前記第2ボールベアリングアセン
    ブリ間の距離は、前記ジンバルを介して伝達される横方向力が前記駆動軸を実質
    的にピボット回転させないように充分離れている、前記キャリヤヘッドと を備えるキャリヤヘッドアセンブリ。
  19. 【請求項19】 前記キャリヤヘッドが、チャンバを画成する可撓部材を含み、前記可撓部材の
    下面が基板受け面を提供する請求項18に記載のキャリヤヘッドセンブリ。
  20. 【請求項20】 ケミカルメカニカルポリシングシステムで使用されるキャリヤヘッドセンブリ
    であって、 ボアと、前記ボア内に配置されて、中央通路および前記中央通路を囲む少なく
    とも一つの環状通路を画成する少なくとも一つの円筒チューブとを有する駆動軸
    と、 前記駆動軸の下端に結合されたキャリヤヘッドであって、複数のチャンバを含
    み、各チャンバは前記通路の一つに結合されるようにした前記キャリヤヘッドと
    を備えるキャリヤヘッドアセンブリ。
  21. 【請求項21】 前記駆動軸が、前記ボア内に配置されて3本の同心通路を画成する2本の同心
    チューブを有し、前記各通路が前記チャンバの一つに結合される請求項20に記
    載のキャリヤヘッドセンブリ。
  22. 【請求項22】 前記キャリヤヘッドが、ベースに結合されて第1チャンバ、第2チャンバ、お
    よび第3チャンバを画成する可撓部材を含む請求項21に記載のキャリヤヘッド
    センブリ。
  23. 【請求項23】 更に、複数の圧力源と、前記駆動軸の上端に結合された回転ユニオンとを備え
    、前記回転ユニオンが複数の圧力源を前記複数の通路のそれぞれ一つに連結する
    請求項20に記載のキャリヤヘッドセンブリ。
  24. 【請求項24】 ケミカルメカニカルポリシングシステムで使用されるキャリヤヘッドであって
    、 第1、第2、および第3の独立加圧式チャンバと、 前記第1チャンバに関連して第1圧力を基板の中央部分に加える可撓内側部材
    と、 前記第2チャンバに関連するとともに前記内側部材を囲み、第2圧力を前記基
    板の中間部分に加える実質的に環状の可撓中間部材と、 前記第3チャンバに関連するとともに前記中間部材を囲み、第3圧力を前記基
    板の外側部分へ加える実質的に環状の可撓外側部材であって、前記中間部材より
    も実質的に幅が狭い、前記可撓外側部材と を備えるキャリヤヘッド。
  25. 【請求項25】 前記内側部材、前記中間部材、および前記外側部材が可撓膜の一部である請求
    項24に記載のキャリヤヘッド。
JP2000501870A 1997-07-11 1998-07-10 可撓膜を有するケミカルメカニカルポリシングシステム用キャリヤヘッド Expired - Lifetime JP4413421B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/891,548 US5964653A (en) 1997-07-11 1997-07-11 Carrier head with a flexible membrane for a chemical mechanical polishing system
US08/891,548 1997-07-11
PCT/US1998/014032 WO1999002304A1 (en) 1997-07-11 1998-07-10 A carrier head with a flexible membrane for a chemical mechanical polishing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009145693A Division JP5073714B2 (ja) 1997-07-11 2009-06-18 可撓膜を有するケミカルメカニカルポリシングシステム用キャリアヘット

Publications (2)

Publication Number Publication Date
JP2001509440A true JP2001509440A (ja) 2001-07-24
JP4413421B2 JP4413421B2 (ja) 2010-02-10

Family

ID=25398390

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000501870A Expired - Lifetime JP4413421B2 (ja) 1997-07-11 1998-07-10 可撓膜を有するケミカルメカニカルポリシングシステム用キャリヤヘッド
JP2009145693A Expired - Lifetime JP5073714B2 (ja) 1997-07-11 2009-06-18 可撓膜を有するケミカルメカニカルポリシングシステム用キャリアヘット

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009145693A Expired - Lifetime JP5073714B2 (ja) 1997-07-11 2009-06-18 可撓膜を有するケミカルメカニカルポリシングシステム用キャリアヘット

Country Status (5)

Country Link
US (7) US5964653A (ja)
JP (2) JP4413421B2 (ja)
KR (1) KR100513573B1 (ja)
TW (1) TW379380B (ja)
WO (1) WO1999002304A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004516644A (ja) * 2000-07-25 2004-06-03 アプライド マテリアルズ インコーポレイテッド 可撓性膜を有するマルチチャンバキャリヤヘッド

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183354B1 (en) 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US5964653A (en) * 1997-07-11 1999-10-12 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
FR2778129B1 (fr) * 1998-05-04 2000-07-21 St Microelectronics Sa Disque support de membrane d'une machine de polissage et procede de fonctionnement d'une telle machine
US6210255B1 (en) 1998-09-08 2001-04-03 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6159079A (en) * 1998-09-08 2000-12-12 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6244942B1 (en) * 1998-10-09 2001-06-12 Applied Materials, Inc. Carrier head with a flexible membrane and adjustable edge pressure
US6277014B1 (en) * 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6145849A (en) * 1998-11-18 2000-11-14 Komag, Incorporated Disk processing chuck
US6165058A (en) * 1998-12-09 2000-12-26 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6422927B1 (en) * 1998-12-30 2002-07-23 Applied Materials, Inc. Carrier head with controllable pressure and loading area for chemical mechanical polishing
US6272902B1 (en) * 1999-01-04 2001-08-14 Taiwan Semiconductor Manufactoring Company, Ltd. Method and apparatus for off-line testing a polishing head
US6162116A (en) * 1999-01-23 2000-12-19 Applied Materials, Inc. Carrier head for chemical mechanical polishing
WO2000045993A1 (fr) * 1999-02-02 2000-08-10 Ebara Corporation Dispositif de maintien et de polissage de plaquette
US6431968B1 (en) 1999-04-22 2002-08-13 Applied Materials, Inc. Carrier head with a compressible film
US6241593B1 (en) * 1999-07-09 2001-06-05 Applied Materials, Inc. Carrier head with pressurizable bladder
US6358121B1 (en) 1999-07-09 2002-03-19 Applied Materials, Inc. Carrier head with a flexible membrane and an edge load ring
US6776692B1 (en) * 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6855043B1 (en) 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6494774B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Carrier head with pressure transfer mechanism
JP3270428B2 (ja) 1999-07-28 2002-04-02 東芝機械株式会社 電動式射出成形機の旋回装置
JP4485643B2 (ja) * 1999-08-30 2010-06-23 三菱マテリアル株式会社 研磨装置及び被研磨材の研磨方法
SG90746A1 (en) 1999-10-15 2002-08-20 Ebara Corp Apparatus and method for polishing workpiece
US6663466B2 (en) 1999-11-17 2003-12-16 Applied Materials, Inc. Carrier head with a substrate detector
JP3683149B2 (ja) * 2000-02-01 2005-08-17 株式会社東京精密 研磨装置の研磨ヘッドの構造
US6361419B1 (en) 2000-03-27 2002-03-26 Applied Materials, Inc. Carrier head with controllable edge pressure
US6450868B1 (en) 2000-03-27 2002-09-17 Applied Materials, Inc. Carrier head with multi-part flexible membrane
US6264532B1 (en) 2000-03-28 2001-07-24 Speedfam-Ipec Corporation Ultrasonic methods and apparatus for the in-situ detection of workpiece loss
US6336853B1 (en) 2000-03-31 2002-01-08 Speedfam-Ipec Corporation Carrier having pistons for distributing a pressing force on the back surface of a workpiece
US6390905B1 (en) 2000-03-31 2002-05-21 Speedfam-Ipec Corporation Workpiece carrier with adjustable pressure zones and barriers
US6447379B1 (en) * 2000-03-31 2002-09-10 Speedfam-Ipec Corporation Carrier including a multi-volume diaphragm for polishing a semiconductor wafer and a method therefor
US7140956B1 (en) 2000-03-31 2006-11-28 Speedfam-Ipec Corporation Work piece carrier with adjustable pressure zones and barriers and a method of planarizing a work piece
US6368968B1 (en) * 2000-04-11 2002-04-09 Vanguard International Semiconductor Corporation Ditch type floating ring for chemical mechanical polishing
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6478936B1 (en) 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US6558232B1 (en) * 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
US6506105B1 (en) * 2000-05-12 2003-01-14 Multi-Planar Technologies, Inc. System and method for pneumatic diaphragm CMP head having separate retaining ring and multi-region wafer pressure control
US6602114B1 (en) * 2000-05-19 2003-08-05 Applied Materials Inc. Multilayer retaining ring for chemical mechanical polishing
US6540592B1 (en) 2000-06-29 2003-04-01 Speedfam-Ipec Corporation Carrier head with reduced moment wear ring
US6722965B2 (en) * 2000-07-11 2004-04-20 Applied Materials Inc. Carrier head with flexible membranes to provide controllable pressure and loading area
US7101273B2 (en) * 2000-07-25 2006-09-05 Applied Materials, Inc. Carrier head with gimbal mechanism
US7198561B2 (en) * 2000-07-25 2007-04-03 Applied Materials, Inc. Flexible membrane for multi-chamber carrier head
US20040005842A1 (en) * 2000-07-25 2004-01-08 Chen Hung Chih Carrier head with flexible membrane
MY128145A (en) * 2000-07-31 2007-01-31 Silicon Valley Group Thermal In-situ method and apparatus for end point detection in chemical mechanical polishing
US7029381B2 (en) * 2000-07-31 2006-04-18 Aviza Technology, Inc. Apparatus and method for chemical mechanical polishing of substrates
DE60138343D1 (de) * 2000-07-31 2009-05-28 Ebara Corp Substrathalter und Poliervorrichtung
US6572446B1 (en) 2000-09-18 2003-06-03 Applied Materials Inc. Chemical mechanical polishing pad conditioning element with discrete points and compliant membrane
JP2002187060A (ja) * 2000-10-11 2002-07-02 Ebara Corp 基板保持装置、ポリッシング装置、及び研磨方法
US6923711B2 (en) 2000-10-17 2005-08-02 Speedfam-Ipec Corporation Multizone carrier with process monitoring system for chemical-mechanical planarization tool
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP3627143B2 (ja) * 2000-10-23 2005-03-09 株式会社東京精密 ウェーハ研磨装置
US6447368B1 (en) 2000-11-20 2002-09-10 Speedfam-Ipec Corporation Carriers with concentric balloons supporting a diaphragm
JP2004520705A (ja) * 2000-11-21 2004-07-08 エムイーエムシー・エレクトロニック・マテリアルズ・ソシエタ・ペル・アチオニ 半導体ウエハ、研磨装置及び方法
US6652362B2 (en) * 2000-11-23 2003-11-25 Samsung Electronics Co., Ltd. Apparatus for polishing a semiconductor wafer and method therefor
KR100423909B1 (ko) * 2000-11-23 2004-03-24 삼성전자주식회사 화학적 기계적 평탄화 기계의 폴리싱 헤드 및 그것을이용한 폴리싱방법
US6468131B1 (en) 2000-11-28 2002-10-22 Speedfam-Ipec Corporation Method to mathematically characterize a multizone carrier
WO2002047139A2 (en) * 2000-12-04 2002-06-13 Ebara Corporation Methode of forming a copper film on a substrate
JP3969069B2 (ja) * 2000-12-04 2007-08-29 株式会社東京精密 ウェーハ研磨装置
DE10062496B4 (de) * 2000-12-14 2005-03-17 Peter Wolters Cmp - Systeme Gmbh & Co. Kg Halter für flache Werkstücke, insbesondere Halbleiterwafer
US6582277B2 (en) 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
KR100437456B1 (ko) * 2001-05-31 2004-06-23 삼성전자주식회사 화학적 기계적 평탄화 기계의 폴리싱 헤드 및 그것을이용한 폴리싱방법
KR100470227B1 (ko) * 2001-06-07 2005-02-05 두산디앤디 주식회사 화학기계적 연마장치의 캐리어 헤드
US6712673B2 (en) 2001-10-04 2004-03-30 Memc Electronic Materials, Inc. Polishing apparatus, polishing head and method
US6821794B2 (en) 2001-10-04 2004-11-23 Novellus Systems, Inc. Flexible snapshot in endpoint detection
US6716093B2 (en) * 2001-12-07 2004-04-06 Lam Research Corporation Low friction gimbaled substrate holder for CMP apparatus
KR20030077802A (ko) * 2002-03-27 2003-10-04 삼성전자주식회사 폴리싱 헤드를 갖는 화학기계적 연마장비
US6790123B2 (en) 2002-05-16 2004-09-14 Speedfam-Ipec Corporation Method for processing a work piece in a multi-zonal processing apparatus
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
KR100492330B1 (ko) * 2002-10-30 2005-05-27 두산디앤디 주식회사 화학기계적 연마장치의 캐리어 헤드
TWI238754B (en) * 2002-11-07 2005-09-01 Ebara Tech Inc Vertically adjustable chemical mechanical polishing head having a pivot mechanism and method for use thereof
TWI375294B (en) * 2003-02-10 2012-10-21 Ebara Corp Elastic membrane
US7001245B2 (en) * 2003-03-07 2006-02-21 Applied Materials Inc. Substrate carrier with a textured membrane
US6764387B1 (en) 2003-03-07 2004-07-20 Applied Materials Inc. Control of a multi-chamber carrier head
US7156946B2 (en) * 2003-04-28 2007-01-02 Strasbaugh Wafer carrier pivot mechanism
DE10394257D2 (de) * 2003-07-09 2006-05-18 Peter Wolters Surface Technolo Halter für flache Werkstücke, insbesondere Halbleiterwafer zum chemisch-mechanischen Polieren
US7406549B2 (en) * 2003-08-01 2008-07-29 Intel Corporation Support for non-standard device containing operating system data
US6991516B1 (en) 2003-08-18 2006-01-31 Applied Materials Inc. Chemical mechanical polishing with multi-stage monitoring of metal clearing
US7074109B1 (en) 2003-08-18 2006-07-11 Applied Materials Chemical mechanical polishing control system and method
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US6986359B2 (en) * 2004-03-09 2006-01-17 Mks Instruments, Inc. System and method for controlling pressure in remote zones
US7255771B2 (en) 2004-03-26 2007-08-14 Applied Materials, Inc. Multiple zone carrier head with flexible membrane
US6958005B1 (en) * 2004-03-30 2005-10-25 Lam Research Corporation Polishing pad conditioning system
US6969307B2 (en) * 2004-03-30 2005-11-29 Lam Research Corporation Polishing pad conditioning and polishing liquid dispersal system
KR100621629B1 (ko) * 2004-06-04 2006-09-19 삼성전자주식회사 화학적 기계적 연마 장치에 사용되는 연마 헤드 및 연마방법
US7201642B2 (en) * 2004-06-17 2007-04-10 Systems On Silicon Manufacturing Co. Pte. Ltd. Process for producing improved membranes
US7081042B2 (en) * 2004-07-22 2006-07-25 Applied Materials Substrate removal from polishing tool
KR101126662B1 (ko) * 2004-11-01 2012-03-30 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치
JP5112614B2 (ja) 2004-12-10 2013-01-09 株式会社荏原製作所 基板保持装置および研磨装置
US7101272B2 (en) * 2005-01-15 2006-09-05 Applied Materials, Inc. Carrier head for thermal drift compensation
DE102005016411B4 (de) * 2005-04-08 2007-03-29 IGAM Ingenieurgesellschaft für angewandte Mechanik mbH Vorrichtung zur hochgenauen Oberflächenbearbeitung eines Werkstückes
US7984002B2 (en) * 2005-04-29 2011-07-19 Charles River Analytics, Inc. Automatic source code generation for computing probabilities of variables in belief networks
US7074118B1 (en) * 2005-11-01 2006-07-11 Freescale Semiconductor, Inc. Polishing carrier head with a modified pressure profile
US8454413B2 (en) * 2005-12-29 2013-06-04 Applied Materials, Inc. Multi-chamber carrier head with a textured membrane
US7364496B2 (en) * 2006-03-03 2008-04-29 Inopla Inc. Polishing head for polishing semiconductor wafers
US7115017B1 (en) 2006-03-31 2006-10-03 Novellus Systems, Inc. Methods for controlling the pressures of adjustable pressure zones of a work piece carrier during chemical mechanical planarization
JP2009539626A (ja) * 2006-06-02 2009-11-19 アプライド マテリアルズ インコーポレイテッド メンブレン膨張ステップなしの研磨ヘッドへの高速基板ローディング
US7402098B2 (en) * 2006-10-27 2008-07-22 Novellus Systems, Inc. Carrier head for workpiece planarization/polishing
US7335092B1 (en) * 2006-10-27 2008-02-26 Novellus Systems, Inc. Carrier head for workpiece planarization/polishing
US7597608B2 (en) * 2006-10-30 2009-10-06 Applied Materials, Inc. Pad conditioning device with flexible media mount
US7699688B2 (en) * 2006-11-22 2010-04-20 Applied Materials, Inc. Carrier ring for carrier head
US7727055B2 (en) * 2006-11-22 2010-06-01 Applied Materials, Inc. Flexible membrane for carrier head
US7654888B2 (en) 2006-11-22 2010-02-02 Applied Materials, Inc. Carrier head with retaining ring and carrier ring
US20090025807A1 (en) * 2007-07-10 2009-01-29 Visible Assets Inc. Rubee enabled outdoor faucet and watering control system
CN101981666A (zh) * 2008-03-25 2011-02-23 应用材料公司 改良的承载头薄膜
DE102008029931A1 (de) 2008-06-26 2009-12-31 Veikko Galazky Vorrichtung zur Oberflächenbearbeitung eines Werkstückes
JP4833355B2 (ja) * 2008-08-29 2011-12-07 信越半導体株式会社 研磨ヘッド及び研磨装置
US10160093B2 (en) 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
JP5392483B2 (ja) * 2009-08-31 2014-01-22 不二越機械工業株式会社 研磨装置
JP2011079113A (ja) * 2009-10-09 2011-04-21 Tenryu Saw Mfg Co Ltd 円板状回転工具の取付け装置
US8500515B2 (en) 2010-03-12 2013-08-06 Wayne O. Duescher Fixed-spindle and floating-platen abrasive system using spherical mounts
US8696405B2 (en) 2010-03-12 2014-04-15 Wayne O. Duescher Pivot-balanced floating platen lapping machine
US8647172B2 (en) 2010-03-12 2014-02-11 Wayne O. Duescher Wafer pads for fixed-spindle floating-platen lapping
US8647170B2 (en) 2011-10-06 2014-02-11 Wayne O. Duescher Laser alignment apparatus for rotary spindles
US8740668B2 (en) * 2010-03-12 2014-06-03 Wayne O. Duescher Three-point spindle-supported floating abrasive platen
US8647171B2 (en) * 2010-03-12 2014-02-11 Wayne O. Duescher Fixed-spindle floating-platen workpiece loader apparatus
US8641476B2 (en) 2011-10-06 2014-02-04 Wayne O. Duescher Coplanar alignment apparatus for rotary spindles
US8602842B2 (en) * 2010-03-12 2013-12-10 Wayne O. Duescher Three-point fixed-spindle floating-platen abrasive system
US8758088B2 (en) 2011-10-06 2014-06-24 Wayne O. Duescher Floating abrading platen configuration
KR101110268B1 (ko) * 2010-04-30 2012-02-16 삼성전자주식회사 로터리 유니온을 구동하는 공압 공급관의 꼬임을 방지하는 화학 기계식 연마시스템
US8337280B2 (en) 2010-09-14 2012-12-25 Duescher Wayne O High speed platen abrading wire-driven rotary workholder
US8430717B2 (en) 2010-10-12 2013-04-30 Wayne O. Duescher Dynamic action abrasive lapping workholder
US9393669B2 (en) 2011-10-21 2016-07-19 Strasbaugh Systems and methods of processing substrates
TW201323149A (zh) * 2011-10-21 2013-06-16 Strasbaugh 晶圓研磨之系統與方法
US20130217306A1 (en) * 2012-02-16 2013-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CMP Groove Depth and Conditioning Disk Monitoring
WO2013134075A1 (en) * 2012-03-08 2013-09-12 Applied Materials, Inc. Detecting membrane breakage in a carrier head
US9393668B2 (en) * 2012-07-12 2016-07-19 Taiwan Semiconductor Manufacturing Company Limited Polishing head with alignment gear
US9610669B2 (en) 2012-10-01 2017-04-04 Strasbaugh Methods and systems for use in grind spindle alignment
US9457446B2 (en) 2012-10-01 2016-10-04 Strasbaugh Methods and systems for use in grind shape control adaptation
US8998677B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
US8845394B2 (en) 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US9039488B2 (en) 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
US8998678B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
US9011207B2 (en) 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US9233452B2 (en) * 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US10532441B2 (en) 2012-11-30 2020-01-14 Applied Materials, Inc. Three-zone carrier head and flexible membrane
WO2014163735A1 (en) * 2013-03-13 2014-10-09 Applied Materials, Inc. Reinforcement ring for carrier head
CN103203683B (zh) * 2013-03-13 2015-02-18 大连理工大学 一种浮动抛光头
JP6266493B2 (ja) * 2014-03-20 2018-01-24 株式会社荏原製作所 研磨装置及び研磨方法
US9878421B2 (en) * 2014-06-16 2018-01-30 Applied Materials, Inc. Chemical mechanical polishing retaining ring with integrated sensor
TWI692385B (zh) * 2014-07-17 2020-05-01 美商應用材料股份有限公司 化學機械硏磨所用的方法、系統與硏磨墊
US9566687B2 (en) 2014-10-13 2017-02-14 Sunedison Semiconductor Limited (Uen201334164H) Center flex single side polishing head having recess and cap
EP3954816B1 (en) * 2015-04-16 2023-10-25 NIKE Innovate C.V. Article of footwear incorporating a knitted component having floated portions
US10160091B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMP polishing head design for improving removal rate uniformity
JP6721967B2 (ja) * 2015-11-17 2020-07-15 株式会社荏原製作所 バフ処理装置および基板処理装置
US10315286B2 (en) * 2016-06-14 2019-06-11 Axus Technologi, Llc Chemical mechanical planarization carrier system
JP6792363B2 (ja) * 2016-07-22 2020-11-25 株式会社ディスコ 研削装置
JP6765930B2 (ja) * 2016-10-19 2020-10-07 株式会社ディスコ 加工装置
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
US11945073B2 (en) * 2019-08-22 2024-04-02 Applied Materials, Inc. Dual membrane carrier head for chemical mechanical polishing
US11325223B2 (en) 2019-08-23 2022-05-10 Applied Materials, Inc. Carrier head with segmented substrate chuck
US11320843B2 (en) * 2019-10-17 2022-05-03 Dongguan Hesheng Machinery & Electric Co., Ltd. Air compression system with pressure detection
CN115135448A (zh) * 2020-06-29 2022-09-30 应用材料公司 具有多个角度可加压区的抛光承载头
US20220281064A1 (en) * 2021-03-04 2022-09-08 Applied Materials, Inc. Polishing carrier head with floating edge control

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2762544A (en) * 1952-11-26 1956-09-11 Wheeling Stamping Co Machine for applying screw closures to collapsible tubes
US4194324A (en) * 1978-01-16 1980-03-25 Siltec Corporation Semiconductor wafer polishing machine and wafer carrier therefor
US4373991A (en) * 1982-01-28 1983-02-15 Western Electric Company, Inc. Methods and apparatus for polishing a semiconductor wafer
FR2558095B1 (fr) * 1984-03-14 1988-04-08 Ribard Pierre Perfectionnements apportes aux tetes de travail des machines de polissage et analogues
JPS6125768A (ja) * 1984-07-13 1986-02-04 Nec Corp 平面研摩装置の被加工物保持機構
JPS6294257A (ja) * 1985-10-21 1987-04-30 Fujitsu Ltd 研磨装置
NL8503217A (nl) * 1985-11-22 1987-06-16 Hoogovens Groep Bv Preparaathouder.
JPS63300858A (ja) * 1987-05-29 1988-12-08 Hitachi Ltd 空気軸受式ワ−クホルダ
JPS63114870A (ja) * 1987-10-22 1988-05-19 Nippon Telegr & Teleph Corp <Ntt> ウェハの真空吸着方法
US4918869A (en) * 1987-10-28 1990-04-24 Fujikoshi Machinery Corporation Method for lapping a wafer material and an apparatus therefor
JPH01216768A (ja) * 1988-02-25 1989-08-30 Showa Denko Kk 半導体基板の研磨方法及びその装置
JPH079896B2 (ja) * 1988-10-06 1995-02-01 信越半導体株式会社 研磨装置
JPH02224263A (ja) * 1989-02-27 1990-09-06 Hitachi Ltd 半導体チップの冷却装置
JP2527232B2 (ja) 1989-03-16 1996-08-21 株式会社日立製作所 研磨装置
US5230184A (en) * 1991-07-05 1993-07-27 Motorola, Inc. Distributed polishing head
US5193316A (en) * 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5205082A (en) * 1991-12-20 1993-04-27 Cybeq Systems, Inc. Wafer polisher head having floating retainer ring
ZA93584B (en) * 1992-05-27 1993-09-01 De Beers Ind Diamond Abrasive tools.
US5498199A (en) * 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
EP0589433B1 (en) * 1992-09-24 1999-07-28 Ebara Corporation Polishing apparatus
JP3370112B2 (ja) * 1992-10-12 2003-01-27 不二越機械工業株式会社 ウエハーの研磨装置
JPH071328A (ja) * 1992-11-27 1995-01-06 Toshiba Corp ポリッシング装置及び方法
US5377451A (en) * 1993-02-23 1995-01-03 Memc Electronic Materials, Inc. Wafer polishing apparatus and method
US5443416A (en) * 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
JP3311116B2 (ja) * 1993-10-28 2002-08-05 株式会社東芝 半導体製造装置
US5643053A (en) * 1993-12-27 1997-07-01 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved polishing control
US5624299A (en) * 1993-12-27 1997-04-29 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved carrier and method of use
US5423716A (en) * 1994-01-05 1995-06-13 Strasbaugh; Alan Wafer-handling apparatus having a resilient membrane which holds wafer when a vacuum is applied
JP3318615B2 (ja) * 1994-02-09 2002-08-26 明治機械株式会社 研磨機におけるワ−クの取外し方法
US5423558A (en) * 1994-03-24 1995-06-13 Ipec/Westech Systems, Inc. Semiconductor wafer carrier and method
US5651724A (en) * 1994-09-08 1997-07-29 Ebara Corporation Method and apparatus for polishing workpiece
JPH08139165A (ja) * 1994-11-02 1996-05-31 Sumitomo Metal Mining Co Ltd ウエハ貼り付け装置
JP3158934B2 (ja) * 1995-02-28 2001-04-23 三菱マテリアル株式会社 ウェーハ研磨装置
US5908530A (en) * 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5681215A (en) * 1995-10-27 1997-10-28 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5795215A (en) * 1995-06-09 1998-08-18 Applied Materials, Inc. Method and apparatus for using a retaining ring to control the edge effect
JPH0911118A (ja) * 1995-06-29 1997-01-14 Hitachi Ltd 研磨装置
JP3678468B2 (ja) * 1995-07-18 2005-08-03 株式会社荏原製作所 ポリッシング装置
US5643061A (en) * 1995-07-20 1997-07-01 Integrated Process Equipment Corporation Pneumatic polishing head for CMP apparatus
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
ATE228915T1 (de) * 1996-01-24 2002-12-15 Lam Res Corp Halbleiterscheiben-polierkopf
EP0787561B1 (en) * 1996-02-05 2002-01-09 Ebara Corporation Polishing apparatus
JP3795128B2 (ja) * 1996-02-27 2006-07-12 株式会社荏原製作所 ポリッシング装置
US5762539A (en) * 1996-02-27 1998-06-09 Ebara Corporation Apparatus for and method for polishing workpiece
JP3663728B2 (ja) * 1996-03-28 2005-06-22 信越半導体株式会社 薄板の研磨機
JP3183388B2 (ja) * 1996-07-12 2001-07-09 株式会社東京精密 半導体ウェーハ研磨装置
US5941758A (en) * 1996-11-13 1999-08-24 Intel Corporation Method and apparatus for chemical-mechanical polishing
US5716258A (en) * 1996-11-26 1998-02-10 Metcalf; Robert L. Semiconductor wafer polishing machine and method
US5851140A (en) * 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3027551B2 (ja) * 1997-07-03 2000-04-04 キヤノン株式会社 基板保持装置ならびに該基板保持装置を用いた研磨方法および研磨装置
US5964653A (en) 1997-07-11 1999-10-12 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US5989104A (en) * 1998-01-12 1999-11-23 Speedfam-Ipec Corporation Workpiece carrier with monopiece pressure plate and low gimbal point
FR2778129B1 (fr) * 1998-05-04 2000-07-21 St Microelectronics Sa Disque support de membrane d'une machine de polissage et procede de fonctionnement d'une telle machine
US5985094A (en) * 1998-05-12 1999-11-16 Speedfam-Ipec Corporation Semiconductor wafer carrier
US6152808A (en) * 1998-08-25 2000-11-28 Micron Technology, Inc. Microelectronic substrate polishing systems, semiconductor wafer polishing systems, methods of polishing microelectronic substrates, and methods of polishing wafers
US6286834B1 (en) * 1999-07-23 2001-09-11 Igt Methods and apparatus for playing wagering games
US6390905B1 (en) * 2000-03-31 2002-05-21 Speedfam-Ipec Corporation Workpiece carrier with adjustable pressure zones and barriers
DE10196317T1 (de) * 2000-06-08 2003-11-13 Speedfam Ipec Corp Chandler Orbitalpoliervorrichtung
DE60101458T2 (de) * 2001-05-25 2004-10-28 Infineon Technologies Ag Halbleitersubstrathalter mit bewegbarer Platte für das chemisch-mechanische Polierverfahren

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004516644A (ja) * 2000-07-25 2004-06-03 アプライド マテリアルズ インコーポレイテッド 可撓性膜を有するマルチチャンバキャリヤヘッド

Also Published As

Publication number Publication date
JP4413421B2 (ja) 2010-02-10
US6506104B2 (en) 2003-01-14
US20010041526A1 (en) 2001-11-15
US6106378A (en) 2000-08-22
WO1999002304A1 (en) 1999-01-21
US20030022609A1 (en) 2003-01-30
US20050142995A1 (en) 2005-06-30
JP2009255289A (ja) 2009-11-05
KR100513573B1 (ko) 2005-09-09
KR20010021732A (ko) 2001-03-15
TW379380B (en) 2000-01-11
US20040063385A1 (en) 2004-04-01
US6277010B1 (en) 2001-08-21
US6896584B2 (en) 2005-05-24
US6648740B2 (en) 2003-11-18
JP5073714B2 (ja) 2012-11-14
US5964653A (en) 1999-10-12

Similar Documents

Publication Publication Date Title
JP4413421B2 (ja) 可撓膜を有するケミカルメカニカルポリシングシステム用キャリヤヘッド
US6443823B1 (en) Carrier head with layer of conformable material for a chemical mechanical polishing system
JP5216542B2 (ja) 化学機械研磨ヘッド用保持リング
US5820448A (en) Carrier head with a layer of conformable material for a chemical mechanical polishing system
US7988537B2 (en) Substrate holding apparatus and polishing apparatus
US5681215A (en) Carrier head design for a chemical mechanical polishing apparatus
US5899801A (en) Method and apparatus for removing a substrate from a polishing pad in a chemical mechanical polishing system
US7108592B2 (en) Substrate holding apparatus and polishing apparatus
US6143127A (en) Carrier head with a retaining ring for a chemical mechanical polishing system
JPH10249707A (ja) 化学的機械的研磨システム中で研磨パッドを調整する方法と装置
JP2001526969A (ja) ケミカルメカニカルポリシング装置用の着脱式リテーナリングを有するキャリヤヘッド
US20060128286A1 (en) Polishing apparatus
JP2004040079A (ja) 化学機械研磨システムの振動低減機能付キャリアヘッド
EP0835723A1 (en) A carrier head with a layer of conformable material for a chemical mechanical polishing system
JP2003175455A (ja) 基板保持装置及びポリッシング装置
JP2002096261A (ja) 基板保持装置及び該基板保持装置を備えたポリッシング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080317

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080514

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090618

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091020

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091118

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121127

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121127

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121127

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131127

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term