JPH10249707A - 化学的機械的研磨システム中で研磨パッドを調整する方法と装置 - Google Patents

化学的機械的研磨システム中で研磨パッドを調整する方法と装置

Info

Publication number
JPH10249707A
JPH10249707A JP5840198A JP5840198A JPH10249707A JP H10249707 A JPH10249707 A JP H10249707A JP 5840198 A JP5840198 A JP 5840198A JP 5840198 A JP5840198 A JP 5840198A JP H10249707 A JPH10249707 A JP H10249707A
Authority
JP
Japan
Prior art keywords
polishing
substrate
conditioning
carrier
polishing surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP5840198A
Other languages
English (en)
Inventor
Tsungnan Cheng
チャン ツンナン
Show-Chan Kao
ショウ−チャン カオ
Michael Sherwood
マイケル シャーウッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10249707A publication Critical patent/JPH10249707A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • B24B37/32Retaining rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Grinding-Machine Dressing And Accessory Apparatuses (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

(57)【要約】 【課題】一体となった調整部材を持つキャリヤ・ヘッド
を含む化学的機械的研磨装置が記述されている。 【解決手段】調整部材は、研磨パッドの研磨表面と選択
的に接触させられる調整表面を含む。調整部材は、キャ
リヤの保持リング・アッセンブリの表面に接続される。
結果として、研磨表面は、基板が研磨のためにキャリヤ
内に搭載されているときに継続的または間欠的に調整さ
れる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般的には基板の化
学的機械的研磨に関し、より特定的には、化学的機械的
研磨システム中でキャリヤ・ヘッドと接続されている研
磨パッドの調整器(conditioner)に関する。
【0002】
【発明が解決しようとする課題】集積回路は、通常は、
導体、半導体または絶縁性層を連続的に堆積して基板、
特にシリコンウェハ上に形成される。層が1つ堆積され
るごとに、その層はエッチングされて回路機能を生成す
る。1連の層が連続的に堆積されエッチングされるにつ
れて、基板の外部または最外側の表面、すなわち基板の
暴露された表面はより非平坦なものとなっていく。この
非平坦外部表面が集積回路製造業者にとって問題とな
る。基板の外部表面が非平坦であると、その上に位置す
るフォトレジスト層もまた非平坦となる。フォトレジス
ト層は通常は、フォトレジスト上に光画像を焦点合わせ
する光露光装置によってかたどられる。外部表面があま
り非平坦であると、外部表面の頂部と底部の最大差が撮
像装置の焦点深さを越えることがある。このような場
合、外部表面全体に光画像を焦点合わせすることが不可
能となる。
【0003】焦点深さを改良した新たな光露光装置を設
計するのはあまりにも費用がかかる。さらに、集積回路
中の特徴寸法が小型化するにつれて、より短い光波長を
用いなければならず、このため利用可能な焦点深さがさ
らに減少する。したがって、平坦な表面にするために
は、基板表面を定期的に平坦化する必要がある。
【0004】化学的機械的研磨法は、受け入れられてい
る平坦化方法の1つである。この平坦化法では通常は、
基板をキャリヤ・ヘッドまたは研磨ヘッド上に搭載する
必要がある。次に、基板の露出表面は回転研磨パッドに
対面して置かれる。キャリヤが制御可能荷重、すなわち
圧力を基板に印加し研磨パッドに押しつける。さらに、
キャリヤが回転して、基板と研磨表面の間にさらなる運
動を与える。研削材と少なくとも1つの化学反応性剤を
包含する研磨スラリが研磨パッドに供給され、パッドと
基板の境界面で研削性化学溶剤となる。
【0005】化学的機械的研磨はかなり複雑なプロセス
であり、単純な湿式研磨とは異なる。化学的機械的研磨
プロセスでは、スラリ中の反応剤が基板の外部表面と反
応して反応部位を形成する。研磨パッドと研削粒子が基
板上の反応部位で相互作用する結果、研磨がなされる。
【0006】効果的な化学的機械的研磨プロセスは研磨
速度が速く、仕上げされた(小規模な粗面がない)平坦
な(大規模な凹凸がない)基板表面を生じさせる。研磨
速度、仕上げおよび平坦さはパッドとスラリの組み合わ
せ、基板とパッドの間の相対速度およびパッドに基板を
押しつける力によって決まる。不適切な平坦さと仕上げ
は、欠陥のある基板をつくるので、研磨パッドとスラリ
の組み合わせの選択は、通常は要求される仕上げと平坦
さによって指示される。これらの制限下では、要求され
た仕上げと平坦さを達成するに必要な研磨時間は、研磨
装置の最大の処理能力を設定するものとなる。
【0007】研磨処理能力に関する追加的制限は、研磨
パッドの「グレイジング」(glazing)である。グレージ
ングは、基板が圧力を印加される領域で研磨パッドが加
熱され圧縮される場合に発生する。研磨パッドの頂部は
押し下げられ、くぼみ(凹部)は充填されるので、研磨
パッド表面はより平滑でより非摩擦的なものとなる。そ
の結果、基板を研磨するのに必要とされる研磨時間が増
大する。したがって、研磨パッドの表面は、高い処理能
力を維持するためには定期的に摩擦状態、すなわち「調
整された」状態に戻す必要がある。
【0008】集積回路の製造で追加的な考慮の対象とな
るのは、プロセスと製品の安定性である。高い歩留ま
り、すなわち低い欠陥率を達成するには、連続して送ら
れてくる基板を1つずつ、実質的に類似の条件で研磨す
ることが望ましい。言い換えれば、個々の基板を、各集
積回路が実質的に同一になるように、ほぼ同量だけ研磨
する。
【0009】以上の点に鑑みると、希望の表面平坦性と
仕上げを提供しながらも研磨処理能力を増大させる化学
的機械的研磨装置が必要である。このような化学的機械
的研磨装置は、研磨パッドを調整する装置を有し、また
その方法を提供する。
【0010】
【発明を解決するための手段】一般に、1つの態様にお
いて、本発明の特徴は、化学的機械的研磨システム中で
使用される装置にある。この装置は、キャリヤの一部と
して形成されている研磨表面と調整表面の上に基板を保
持するキャリヤを含む。
【0011】一般に、別の態様において、本発明は、化
学的機械的研磨システム中で研磨表面を調整する方法を
特徴とする。キャリヤ・アセンブリは基板表面を研磨表
面に押しつけ、それと同時に、キャリヤ・アセンブリの
調整表面が研磨表面に押しつけられて研磨表面を調整(c
ondition)する。
【0012】一般に、別の態様において、本発明は、研
磨表面上に基板を保持するキャリヤ・ヘッドを特徴とす
る。キャリヤ・ヘッドは、ハウジング・アセンブリと、
研磨表面を調整するように適合されている調整部材を含
む。一部の実施態様において、調整表面は、調整表面自
身が研磨表面と接触しない第1の位置か又は調整表面自
身が研磨表面と接触する第2の位置のいずれかに配置す
ることができる。調整部材はまた、キャリヤ・ヘッドの
保持リング・アセンブリに接続されていてもよい。
【0013】一般に、別の態様において、本発明は調整
器に関する。調整器は、研磨表面が基板を研磨するにつ
れて研磨表面を調整するように適合されている調整表面
と、基板にスラリの分配が可能にするために調整表面に
形成されたチャネルを含む。
【0014】一般に、別の態様において、本発明は、化
学的機械的研磨システム中で使用される保持装置に関す
る。保持装置は、研磨表面に対面する位置に基板を保持
するように適合されている第1の表面と研磨表面を調整
するように適合されている第2の表面を含む。
【0015】一般に、別の態様において、本発明は、化
学的機械的研磨システムを操作する方法に関する。当該
方法は、基板を研磨表面で研磨することと、基板を研磨
している間に研磨表面を調整することを含む。
【0016】本発明の実現には次の特徴が含まれる。本
装置は、研磨表面と接触しない第1の位置と研磨表面と
接触している第2の位置の間で調整表面を移動させるよ
う適合されている位置決め部材を含んでもよい。本装置
は、調整表面を駆動して研磨表面と接触させる膨張可能
膜またはベローズ(bellows)及び調整表面を駆動して研
磨表面から分離させるバネ・アセンブリのような1つ以
上のバイアス・メカニズム(biasing mechanism)を含ん
でもよい。位置決め部材とキャリヤの間にスリック・ベ
アリング表面は配列されてもよい。調整表面は、キャリ
ヤの周辺の周りに伸張してもよい。キャリヤは、キャリ
ヤと位置決め部材の間にキーやキー溝のような回転制限
部材を含む。
【0017】本発明の長所として、次のものを含んでも
よい。研磨パッドの表面は、より完全にそして均一に摩
耗されるので、グレイジングはより効果的に除去され
る。パッドのエッジもまた、より効果的に摩耗し、パッ
ドの中心とその最外側領域の間での不均一な摩耗によっ
てしばしば引き起こされる好ましくない「エッジ効果」
を減少または消滅させる。パッドは、基板を研磨してい
る間に調整されてもよい。結果として、基板は、増大さ
れた処理能力と均一性で研磨される。
【0018】本発明の他の長所は以下の記述でなされ、
部分的には本記述から明らかであるか、または本発明の
実施により理解できる。本発明の長所は、特許請求の範
囲において特に指摘される手段及び組み合わせによって
認識することができる。
【0019】
【発明の実施の形態】図1を参照すると、本発明が用い
られている化学的機械的研磨(CMP)装置30が示さ
れている。CMP装置30は、テーブル・トップ33を
その上に搭載した下部機械ベース32および取り外し可
能な上部外側カバー(図示せず)を含む。テーブル・ト
ップ33は、一連の研磨ステーション35a、35bお
よび35cならびに移送ステーション37を支持してい
る。移送ステーション37は、研磨ステーション35
a、35bおよび35cを持った概して矩形の装置を形
成する。移送ステーション37は、搭載装置(図示せ
ず)から個々の基板10の受領、基板の洗浄、キャリヤ
または研磨ヘッド100(後述する)中への基板の搭
載、基板の再洗浄、及び最後に搭載装置への基板の引き
戻し移送を含む複数の機能を遂行する。CMP30装置
のステーション及び装置のさらなる詳細は、本発明の譲
受人に譲渡された、「化学的機械的研磨のための放射状
に振動カルーセル処理システム」というタイトルでイリ
ヤ等による、1995年10月27日提出の米国特許第
08/549,336号に見ることができ、その全開示
内容が参照のため本明細書に含まれている。
【0020】各研磨ステーション35a−35cは、研
磨パッド42を有する回転可能なプラテン(platen)40
を含む。反応剤(例えば、酸素研磨用の脱イオン水)、
研削粒子(例えば、酸素研磨用の二酸化シリコン)及び
化学的に反応性の触媒(例えば、酸化研磨用の水酸化カ
リウム)を含むスラリ50は、スラリ供給管52によっ
て研磨パッド42の表面に供給される。十分なスラリ
が、全研磨パッド42を覆い、湿潤させるために提供さ
れる。2つ以上の中間洗浄ステーション55aと55b
は、隣接する研磨ステーション35a、35b及び35
cの間に配置することができる。洗浄ステーションは、
一つの研磨ステーションから別の研磨ステーションに通
過する際に基板をすすぎ洗いする。
【0021】回転可能マルチヘッドカルーセル60は、
下部機械ベース32の上に配置される。カルーセル60
は、中心ポスト62で支持されその上で、ベース32内
に配置されたカルーセル・モータ・アセンブリによって
カルーセル軸64の周りを回転される。中心ポスト62
は、カルーセル支持プレート66およびカバー68を支
持する。マルチ・ヘッド・カルーセル60は、4つのキ
ャリヤ・ヘッド・システム70a、70b、70c及び
70dを含む。当該キャリヤ・ヘッド・システムの内の
3つが基板を受領して保持し、それら基板を研磨ステー
ション35a−35cのプラテン40上で研磨パッド4
2に押しつける。このキャリヤ・ヘッド・システムの内
の1つは、移送ステーション37から基板を受領し基板
を移送ステーション37に移送する。
【0022】4つのキャリヤ・ヘッド・システム70a
−70dは、カルーセル軸64の周りで等しい角度の間
隔でカルーセル支持プレート66上に搭載されている。
中心ポスト62は、カルーセル・モータがカルーセル支
持プレート66を回転させ、キャリヤ・ヘッド・システ
ム70a−70dおよびそれらに取り付けられた基板
を、カルーセル軸64の周りに周回させる。
【0023】各キャリヤ・ヘッド・システム70a−7
0dは、キャリヤまたはキャリヤ・ヘッド100を含
む。各キャリヤ・ヘッド100は、自身の軸の周りを独
立に回転し、カルーセル支持プレート66内に形成され
た放射状スロット72中で横方向に独立に振動する。放
射状スロット72を通して振動することによって、キャ
リヤ・ヘッド100は基板10を研磨パッド42の表面
を横断して移動することができる。キャリヤ駆動シャフ
ト74は、キャリヤ・ヘッド回転モータ76をキャリヤ
・ヘッド100(カバー68の1/4の除去により示
す)に接続する。各ヘッドに対して1つのキャリヤ駆動
シャフトとモータが存在する。
【0024】カルーセル60の全体カバー68が除去さ
れた図2に示すように、カルーセル支持プレート66
は、図には識別されていない4つのキャリヤ・ヘッド・
システム70a−70dを支持する。カルーセル支持プ
レートは、概して放射状に伸張して90度離れて配向さ
れた4つの放射状スロット72を含む。放射状スロット
72は、閉鎖端部としても(図示)解放端部でもよい。
支持プレートの頂部は4つのスロット付きキャリヤ・ヘ
ッド支持スライド80を支持する。各スライド80は、
放射状スロット72の1つに沿って整列し、カルーセル
支持プレート66に関して放射状経路に沿って自由に移
動する。2つの線形ベアリング・アセンブリ71a−7
1b(図3)は、各々の放射状スロット72にブラケッ
トを付け、各スライド80を支持する。
【0025】図2と3に示すように、各線形ベアリング
・アセンブリ71a−71bは、カルーセル支持プレー
ト66に固定されたレール82および該レールを把持す
るためにスライド80に固定された2つのハンド83
(この内の1つのみが、図3中の線形ベアリング・アセ
ンブリ71a−71bに対して図示されている)を含
む。ベアリング84は、各ハンド83をレール82から
分離して、この両者間で自由で平滑な運動を提供する。
このようにして、線形ベアリング・アセンブリは、スラ
イド80が放射状スロット72に沿って自由に運動する
ことを可能にする。
【0026】レール82の一の外側端部に固定されたベ
アリング・ストップ85は、スライド80がレールの端
部から誤って離れることを防止する。各スライド80の
アームの1つは、その末端方向の近傍でスライドに固定
された図示されてないねじ付き受領キャビティ又はナッ
トを含む。ねじ付きキャビティまたはナットは、カルー
セル支持プレート66に取り付けられているスライド放
射状オシレータ・モータ87によって駆動されるウオー
ムギア・リードねじ86を受領する。モータ87がリー
ドねじ86を回転させると、スライド80は放射状に移
動する。4つのモータ87はそれぞれ独立に動作して、
カルーセル支持プレート66中の放射状スロット72に
沿って4つのスライドを独立に移動させる。
【0027】各キャリヤ・ヘッド・システムは、4つの
スライドの各々に固定されているキャリヤ・ヘッド・ア
センブリを含む。各キャリヤ・ヘッド・アセンブリは、
キャリヤ・ヘッド100、キャリヤ駆動シャフト74、
キャリヤ・モータ76および周囲の非回転シャフト・ハ
ウジング78を含む。駆動シャフト・ハウジング78
は、下部リング・ベアリングの対になっているセットと
上部リング・ベアリングのセットによって駆動シャフト
74を保持する。各キャリヤ・ヘッド・アセンブリは、
研磨装置30から分離し、カルーセル支持プレート66
内およびスライド80のアーム同士間で放射状スロット
72中に緩んだ状態で滑入され、そこで把持するために
スライドを締め、組立てられる。
【0028】駆動モータ76の頂部で回転カップリング
90は、4つの流体または電線92を駆動シャフト74
内の4つの通路をまたはチャネル94(図3が断面図で
あるため、2つのチャンネルのみ示す)の中に結合す
る。通路94は、キャリヤ・ヘッド100内の受領チャ
ネルまたは通路(図4)に接続するために、駆動シャフ
ト74のベース・フランジ96を介して角度を付けても
よい。以下に詳述するように、駆動シャフト74をキャ
リヤ・ヘッド100に接続するために、ねじ付きペリメ
ータ・ナット98はフランジ96上に配置してもよい。
チャネル94は、以下に詳述するように、キャリヤ・ヘ
ッド100を空気で駆動し、保持リングを研磨パッドに
対して起動し、調整リングを研磨パッドに対して起動
し、さらに、基板をキャリヤ・ヘッドに真空チャックす
るために用いられる。
【0029】図1に戻ると、キャリヤ・ヘッド100の
底部に取り付けられた基板は、キャリヤ・ヘッド・シス
テム70a−70dによって上げられ、又は下げられて
もよい。カルーセル・システム全体の長所は、一の短い
垂直ストロークが研磨システムが基板を受領し、研磨及
び洗浄するために配置するために必要とされることにあ
る。入力された制御信号(例えば、空気信号、水力信号
又は電気信号)は、必要な垂直方向ストロークを提供す
るために、研磨ヘッド・システムのキャリヤ・ヘッド1
00が膨張又は収縮を起こす。具体的には、入力制御信
号によって、ウエハ受領凹部を持つ下部キャリヤ部材
が、静止上部キャリヤ部材に対して垂直方向に移動す
る。
【0030】実際の研磨中、キャリヤ・ヘッドの3つ、
すなわち研磨ヘッド・システム70a−70cの内の3
つは、研磨ステーション35a−35cのそれぞれの場
所及びその上に配置される。注記したように、各回転可
能プラテン40は、研削スラリで湿潤された頂部表面で
研磨パッド42を支持する。キャリヤ・ヘッド100
は、基板を降下させて研磨パッド42と接触させ、スラ
リ50は基板またはウエハの化学的機械的研磨のための
媒体として働く。
【0031】基板10が8インチ(200mm)直径の
ディスクの場合、プラテン40と研磨パッド42は、直
径約20インチである。プラテン40は、好ましくは、
ステンレス鋼プラテン駆動シャフト(図示せず)によっ
てプラテン駆動モータ(図示せず)に接続された回転可
能なアルミニウムまたはステンレス鋼プレートである。
ほとんどの研磨プロセスに関して、より低い又はより高
い回転速度を用いてもよいが、駆動モータは毎分30か
ら200回転でプラテン40を回転する。
【0032】図4を参照すると、研磨パッド42は、粗
い研磨表面44を有する硬質の複合材料を含む。研磨パ
ッド42は、上部層46が下部層48より固い2層のパ
ッドであってもよい。下部層は、圧力感知性接着層49
によってプラテン40に取り付けられる。研磨パッド4
2は、固定研削パッドまたは非固定研削パッドでもよ
い。固定研削パッドは一般に調整の必要はないが、パッ
ドを適切に調整しない場合、パッシベーションが発生す
ることがある。
【0033】研磨パッド42が非固定研削パッドの場
合、上部層は通常は、充填材料と混合したポリウレタン
からなる材料を含み、通常は約50ミル(mils)の厚さで
ある。下部層は通常は、ウレタンを浸した圧縮フェルト
・ファイバから成る材料を含み、約50ミルの厚さであ
る。上部層がIC−1000から成り下部層がSUBA
−4から成る2層の非固定研削研磨パッドは、デラウエ
ア州ニューアークのロデル社(Rodel,Inc.)から入手可能
である(IC−1000とSUBA−4はロデル社の製
品名である)。
【0034】研磨パッド42が固定研削パッドの場合、
上部層46は通常は、5−200ミル厚さの研削複合材
層であり、バインダー材料中に保持された研削粒から成
る。下部層48は通常は25−200ミル厚さの支持(b
acking)層であり、重合体薄膜、紙、布、金属薄膜又は
これらに類似する材料を含む。固定研削研磨パッドは、
すべて参照のため本明細書に含まれている次の米国特許
に詳述されている。「構造化された研削物」というタイ
トルの、1992年10月6日発行の第5,152,9
17号、「制御された腐食速度を持つ研削複合物、同じ
腐食速度を持つ物体、および、これらを製造・使用する
方法」というタイトルの1994年8月30発行の第
5,342,419号、「粘性低減スラリ、このスラリ
から成る研削物、およびこの物体を製造する方法」とい
うタイトルの1994年11月29日発行の第5,36
8,619号、および「研削物および研削物を製造・使
用する方法」というタイトルの1995年1月3日発行
の第5,378,251号。
【0035】キャリヤ・ヘッドは、基板を研磨パッド対
して均一に搭載する。主たる研磨ステップの場合、通常
はステーション35aで実行されるが、キャリヤ・ヘッ
ド100は基板10に対して1平方インチ当たり約2か
ら10ポンド(psi)の力を印加する。次のステーシ
ョンで、キャリヤ・ヘッド100は、より大又はより小
の力を印加してもよい。例えば、最終の研磨ステップに
関して、通常はステーション35cで実行されるが、キ
ャリヤ・ヘッド100は、約1から3psiの力を印加
することができる。分離キャリヤ・モータ76(図1参
照)は、各キャリヤ・ヘッド100を毎分約30−20
0回転の速度で回転する。プラテン40とキャリヤ・ヘ
ッド100は、実質的に同じ速度で回転することができ
る。
【0036】一般に、各キャリヤ・ヘッド100は、基
板を研磨パッドに対して搭載し、駆動シャフトからトル
クを伝達して基板を回転させ、基板が研磨動作中にキャ
リヤ・ヘッドの下から滑り出さないようにして、研磨パ
ッドの表面を調整する。図4に示すように、各キャリヤ
・ヘッド100は、4つの主たるアセンブリ、すなわ
ち、ハウジング・アセンブリ102、キャリヤ・アセン
ブリ104、保持リング・アセンブリ106および調整
アセンブリ108を含む。
【0037】ハウジング・アセンブリ102は、駆動シ
ャフト74で固定され又は一体に形成されているディス
ク形状ハウジング支持プレート110を含む。ハウジン
グ支持プレート110は、研磨される基板の円形構造に
適合するように概して円形状である。ハウジング支持プ
レート110の頂部表面は、ねじ付きネック114を持
つ円筒形ハブ112を含む。ねじ付き周辺ナット98
は、ねじ付きネック114上にねじ止めして、キャリヤ
ヘッド100を駆動シャフト74に接続できる。2つ以
上の合わせピン(図示せず)がフランジ96内の合わせ
ピン穴(図示せず)の中に適合(fit)する。合わせピン
は、トルクを駆動シャフトからハウジング・アセンブリ
支持プレート110に伝達し、ハウジング・アセンブリ
が駆動シャフトで回転する。さらに、合わせピンは駆動
シャフト74内のチャネル94をキャリヤ・ヘッド10
0内の通路126(その内の1つだけを図4に示す)に
整合する。水密接続は、駆動シャフト74内のチャネル
94とコンジット126の間でOリング115によって
実現することができる。
【0038】円筒形壁116は、ハウジング支持プレー
ト110の底部から伸張する。壁116は、基板に向け
て内部に湾曲する下部リップ部分118を含む。壁11
6はキャリヤ・アセンブリ104と保持リング・アセン
ブリ106を包含している。
【0039】キャリヤ・アセンブリ104は、円筒形ベ
ローズ122によってハウジング支持プレート110に
取り付けられている基板支持部材120を含む。このベ
ローズは、垂直に可動な真空シールを形成するベローズ
・チャンバ124を作る。基板支持部材120とベロー
ズ122は、ステンレス鋼製でもよい。ベローズ122
は、基板支持部材120がハウジング・アセンブリ10
2に対して垂直方向に移動可能なように膨張し収縮す
る。この目的のために、ベローズ・チャンバ124は、
ベローズ・チャンバを圧力源または真空源(図示せず)
に通路94を介して接続している通路126を介して正
に又は負に圧力を印加することができる。ベローズ・チ
ャンバ124に正に圧力を印加することによって、下向
きの力が基板支持部材120に、したがって基板10に
加えられ、研磨パッドの研磨表面に対して基板を押しつ
ける。ベローズ124に負に圧力を印加することによっ
て、基板支持部材と基板は研磨パッドから持ち上げられ
て離れる。キャリヤ・ヘッドは、基板支持部材が上方へ
の動きを防止するために、ベローズ・チャンバ124の
周辺に円周を成すように置かれた1つ以上のストップ1
28を含む。
【0040】基板支持部材120の底部表面は基板受領
フェース130を含む。少なくとも1つの真空チャック
・コンジット132が、基板受領フェース130から基
板支持部材120を通って取り付け具134に伸張す
る。取り付け具134は、可撓性流体コネクタ(図示せ
ず)によってハウジング支持プレート110内のコンジ
ット(図示せず)に接続されている。一方、ハウジング
支持プレート110内のこのコンジットは、駆動シャフ
ト74内の通路94の内の1つに接続される。ポンプ
(図示せず)が通路、コンジット、コネクタおよび取り
付け具によって真空チャックコンジットに接続されてい
る。負の圧力が真空チャックコンジットに印加される
と、基板は受領フェース130に真空チャック(vacuum-
chucked)される。適当な正の圧力が真空チャックコンジ
ットに印加されると、基板は受領フェース130から排
出される。
【0041】研磨パッドが、回転するにつれて、キャリ
ヤ・ヘッドの下から基板を引き出す傾向がある。したが
って、保持リング・アセンブリ106は、基板のエッジ
の周りに円周状に伸張する下方突出保持リング140を
含む。保持リング140は、基板を包含する凹部を形成
する。より具体的には、保持リング140の内部エッジ
142によって、基板が支持部材120の下から引き出
されることを防ぐ。
【0042】保持リング140は、保持リングをその位
置に保持するために支持リング144に取り付けられ
る。保持リングは、ねじ146がそこを通って伸張する
端ぐり貫通穴を含む。これらのねじは、保持リングを保
持するために支持リング144内の対応するねじ付き穴
の中にねじ込まれる。保持リング140はプラスチック
材料製、支持リング144はアルミニウム製であっても
よい。支持リング144は、内側に伸張して基板支持部
材120上を覆う内部フランジ148を持ってもよい。
基板支持部材120が研磨パッドから上げられて離れる
と、基板支持部材の頂部表面149は内部フランジ14
8と接触して、保持リング・アセンブリ106を持ち上
げる。支持リング144はまた、外側に伸張して下部リ
ップ118を覆う外部フランジ150を含む。膨張可能
な環状ブラダー152は、外部フランジ150の頂部フ
ェースとハウジング支持プレート110の間に適合し、
内部フランジ148を取り囲む。ポンプ(図示せず)が
駆動シャフト74内のコンジット94とハウジング支持
プレート110を介してブラダー152に接続され、ブ
ラダーに圧力を印加する。6から12の圧縮スプリング
154が、外部フランジ150と下部リップ118の頂
部表面の間に置かれている。
【0043】支持リング144と保持リング140は、
圧縮スプリング154によって下部リップ118に対し
て比較的固定された位置に保持されるが、ブラダー15
2が圧力を印加されると、保持リング140は下方に押
されて研磨パッド42と接触する。この位置で、保持リ
ングは基板10のエッジを囲み、研磨動作中に基板支持
部材120の下から基板が滑り出すことを防ぐ。
【0044】連続的に加圧されたブラダーは、圧縮スプ
リングに取って代えることができる。代わりに、加圧さ
れたベローズが圧縮リング154とブラダー152に取
って代わるようにしてもよい。保持リングはまた、キー
やキー・スロットの使用によるように、ねじなしで支持
リングに取り付けることもできる。
【0045】上述のように、研磨パッド42は化学的機
械的研磨プロセス中に「グレイジング」される。このグ
レイジング効果は主として2つの現象によって引き起こ
される。研磨パッドの多孔性表面上に使用済みスラリが
蓄積されること(主に非固定研削パッドの場合)、並び
に研磨中にパッド上に印加される搭載及びせん断力のた
めのこの表面の圧縮である。グレイジングされた研磨パ
ッドは低い摩擦係数を持ち、したがって、「新鮮な」ま
たはグレイジングされていないパッドより、実質的に低
い速度で研磨する。研磨速度が落ちるにつれて、基板を
研磨するために必要とされる時間が増大するので、CM
P装置による基板の処理能力は減少する。その上、研磨
パッドが各連続した研磨動作後に、さらにグレイジング
されるために、各々連続的に来る基板を異なって研磨す
る。したがって、常に粗いパッド表面を保つためには、
研磨パッドは定期的に調整しなければならない。
【0046】調整プロセスは物理的には研磨パッドの研
磨表面を研削してその粗さを回復するものである。この
研削によってパッドを「摩耗」させる、すなわち研磨パ
ッドの表面から材料を除去する。調整プロセスがある一
部の領域において研磨パッド表面から他の領域より多く
の材料を除去する場合、研磨パッド上の摩耗は一様でな
いものとなる。基板の外部表面が一様でない研磨パッド
によって押しつけられると、研磨パッドの薄い方の領域
は厚い領域より圧縮される程度が小さく、したがって、
基板に対する圧力も小さい。その結果、研磨パッドの薄
い領域は厚い領域より遅い速度で研磨し、研磨パッドの
一様でない基板によって一様でない基板層が発生する。
したがって、研磨パッドを均等に摩耗させて、粗いとは
いえ実質的に平坦な研磨表面44を生成する調整装置を
提供することが望ましい。
【0047】調整アセンブリまたは調整器108がハウ
ジング・アセンブリ102の周辺に取り付けられてい
る。調整アセンブリは、研磨表面44上に配置可能な調
整リング200および調整リング200をハウジング・
アセンブリ102に接続する配置メカニズム202を含
む。円形状に図示されているが、調整リング200は他
の形状でもよい。代わりに、調整リング200は、以下
に説明するように、キャリヤ・アセンブリ104又は保
持リング・アセンブリ106などのようなキャリヤ・ヘ
ッドの他のアセンブリに接続してもよい。注記したよう
に、調整アセンブリはキャリヤ・ヘッドの一部である。
【0048】配置メカニズム202は、調整リング20
0を研磨表面44を基準にして配置する。具体的には、
配置メカニズムによって、調整リングは、図6に示すよ
うな調整リングが研磨表面と接触しない後退した位置と
図7に示すような調整リングが研磨表面と接触する伸張
した位置の間で移動できる。配置メカニズム202は、
基板10が研磨表面44上に置かれている間に調整リン
グを研磨表面44と接触するように配置し、又は基板1
0と調整リング200は、異なった時間で研磨表面44
に接触する。
【0049】図5、6及び7を参照すると、調整リング
200と位置付けメカニズム202の構造の詳細が示さ
れている。調整リング200は、環状の調整表面20
4、内部壁206、外部壁208および取り付け表面2
10を有する環状部材である。取り付け表面210は、
調整表面204と対面し概して平行に配置されている。
環状整合フランジ212は、取り付け表面210から伸
張している。整合フランジによって、さらに説明するよ
うに、調整リング200の整合のために、配置メカニズ
ム202が与えられる。調整リング200は、調整表面
204を与えるためにその下部において適切に形状をつ
くられるステンレス鋼の固体リングであってもよい。代
わりに、研削材料が調整表面204を形成するために、
調整リングの下部に取り付けまたは埋め込まれてもよ
い。例えば、ニッケル・コーティングされたダイアモン
ド層は、調整表面204を形成するために、調整リング
の下部に接着的に取り付けられてもよい。
【0050】配置メカニズム202は、ハウジング・ア
センブリ102の周辺に配置される。配置メカニズム2
02は、ハウジング支持プレート110の外部周辺表面
216と壁116の周りに配置されている環状リム22
0、およびハウジング支持プレート110の上部表面2
18の上に配置されている環状カバー・リング240を
含む。基板受領フェース130を基準とした調整リング
200の移動を可能とするために、配置メカニズムは2
つの対面したバイアス・アセンブリを含む。バイアス・
アセンブリは、研磨表面を基準として、調整リングの調
整可能な垂直方向配置を可能にする。一のバイアス・ア
センブリは、ハウジング・アセンブリ102の壁116
を囲む可撓性の膨張可能環状ブラダー250であっても
よい。ブラダー250は、加圧可能なコア256を有し
てもよい。他のバイアス・アセンブリは、環状カバー・
リング240とハウジング支持プレート110の上部表
面218の間に配置されたスプリング・アセンブリ26
0であってもよい。スプリング・アセンブリは、ハウジ
ング支持プレート110の周辺に等間隔で置かれた6か
ら12個のコイル・スプリング262(2つのみ図5に
示す)を含んでもよい。コイル・スプリングは、注記し
たように、カバー・リング240の下側264とハウジ
ング支持プレート110の上部表面218の間に伸張す
る。このスペース内にスプリングを固定するために、複
数のスプリング受領ポケット266がカバー・リング2
40内に配置され、複数のスプリング受領カットアウト
268がハウジング支持サポート110の上部表面21
8内に配置されている。スプリング・アセンブリ260
は、力を加えて調整表面を研磨パッドから駆動して離
し、一方ブラダー250は可変力を加えて調整表面を研
磨パッドと接触させる。
【0051】リム220は、下部取り付け部分222、
上部環状端部分226及びこれら両者間に伸張する犬足
部分(doglegged portion)228を含む。下部取り付け
部分222は、周辺凹部224を含み、犬足部分228
の内部表面は湾曲突起229を含む。調整リング200
は、周辺凹部224内に環状整合フランジ212を固定
することによって下部取り付け部分222に固定され
る。複数のボルト230(2つのみ図5に断面図で示
す)が、調整リング200内の端ぐり232を通って通
過し、下部取り付け部分222の穴234に達し、調整
リングを固定している。ボルトのヘッド236は、研磨
表面に接触しないようにするために、端繰り232内部
で窪みを形成している。カバー・リング240は、複数
のボルト238(2つのみ図5に示す)によって上部環
状端部226に同様に接続されている。
【0052】ハウジング・アセンブリ102を基準とし
て配置メカニズム202の移動を可能とするために、ブ
ラダー250は、注記したように、ハウジング・アセン
ブリ102の壁116と配置部材の突起229の間に配
置されている。ハウジング支持プレート110は、壁1
16を越えて突出して、突出環状突起254を形成す
る。配置メカニズム202の湾曲突起229は、突出環
状突起254に対面し、ブラダー250はそれらの間に
配置される。ブラダー250が適当に加圧されると、配
置部材と、それに取り付けられている調整リングを駆動
し、研磨表面44と接触させる。
【0053】ブラダー250は、ハウジング支持プレー
ト110内のコンジット258、駆動シャフト74内の
チャネル94、回転カプリング90およびライン92
(図3を参照)を介して流体リザーバまたはポンプ(図
示せず)に接続されている。空気などのような流体でコ
ア256を加圧することにとって、ブラダー250は膨
張する。コアが加圧されない場合、注記したように、ス
プリング・アセンブリ260は力を与えて、調整表面2
04が研磨パッドと接触しないようにする。このように
して、ブラダー250のコア256内の圧力を制御する
ことによって、調整表面204を駆動して研磨表面と接
触したり離したりさせることができる。
【0054】調整アセンブリ108の動作中、キャリヤ
・ヘッド100は、研磨表面44上に配置され、研磨表
面の一方または双方及びキャリヤ・ヘッドが回転する。
キャリヤ・ヘッド100はまた、マルチヘッドカルーセ
ル60(図1)内の放射状スロット72(図1)中で振
動して、基板10と調整表面204を研磨表面44を横
切って移動させてもよい。この運動は、基板10が均一
に研磨されること、及び研磨表面44が均一に調整され
ることを確実にすることができる。
【0055】調整表面204の研磨表面44との係合
は、ハウジング・アセンブリ102と調整アセンブリ1
08の間に回転運動を伝える傾向がある。調整アセンブ
リがハウジング・アセンブリを基準として回転すること
を防止するために、複数の矩形回り止め270がハウジ
ング支持サポート110内に形成されている。複数のセ
ットねじ272が、リム220の上部環状端部分226
の貫通穴274を通って伸張し、回り止め270内に達
している。ねじ272と回り止め270は、上下運動を
可能とするために、回転制限素子、具体的にはキー・キ
ー溝接続与えているが、調整アセンブリとハウジング・
アセンブリの間での回転運動をかなり制限する。
【0056】研磨表面を基準とするキャリヤ・ヘッド1
00の運動はまた、リム220を押し、ハウジング・ア
センブリ102の壁116と接触させることができる。
この状態が起こると、配置メカニズム202は壁116
に取り付いてしまい、ブラダー250が適切に動作でき
なくなる。この潜在的問題を解消するために、配置部材
は、リム220の下部取り付け部分222の内部表面2
82に隣接しているスリーブ280を備えている。スリ
ーブ280は、壁116と接触する低摩擦内部周辺ベア
リング表面284、及びスリーブを配置けリムに接続す
るために下部取り付け部分222内の対応する環状突起
288内にある外側突出フランジ286を含む。このス
リーブは、DerlinTMなどのような低摩擦材料で形
成されてもよい。
【0057】図7に示すように、動作において、ブラダ
ーは配置部材を下側に駆動するように加圧されるので、
調整表面は研磨表面に接触する。ブラダー内の圧力を変
化させることによって、研磨表面上の調整表面によって
印加される力が変化する。さらに、この力は研磨表面上
の基板または保持リングによる力とは無関係に変化し得
ることに注意されたい。図6に示すように、研磨表面か
ら調整表面を上げて離すために、ブラダーは減圧され
て、スプリング・アセンブリの力が研磨表面から調整表
面を上げて離すことができる。
【0058】図8を参照すると、調整リング200の調
整表面204はその中に形成された溝またはチャネル2
90を有してもよい。チャネル290は、外部壁208
から内部壁206に伸張する。これによりスラリが基板
10に分配される。チャネル290は、形状が、外部壁
から内部壁にかけてその断面寸法が減少する弧状であ
り、調整リング200が研磨パッド42に押しつけられ
てその上を横断するにつれて、スラリは、チャネル29
0中に押し流され、基板10の方向に内側に流れ込む。
かかるスラリ分配溝がないと、調整リングは、スラリが
基板に達することを妨げ、したがって研磨プロセスに障
害が発生する。
【0059】調整表面の配置を調整する能力は、研磨表
面調整に関するプロセス・フレキシビリティーを提供す
る。具体的には、調整表面204は、基板10が研磨さ
れている間に研磨表面に対して継続して配置される。代
わりに、基板が研磨されている間に(調整表面を後退ま
たは伸張させるためにブラダーを膨張又は収縮させるこ
とにより)研磨表面に対して選択的に配置してもよい。
また、この調整表面は、基板研磨が発生していないとき
に、研磨表面に対して配置してもよい。この状況におい
て、調整プロセスは、基板を同時研磨することなく発生
する。したがって、キャリヤ・ヘッド100は、調整メ
カニズムのための便利なプラットフォームを提供する。
【0060】図9を参照すると、調整リング200は、
保持リング140の底部表面145に接続されてもよ
い。調整リング200は、図6に示すと同様な方法で保
持リング140に取り付けられてもよく、または接着層
300によって取り付けられてもよい。本実施態様にお
いて、いかなる調整アセンブリも必要とされず、調整リ
ング200は、ブラダー152のそれぞれ膨張及び収縮
によって、研磨表面44に押しつけられたりそこから取
り除かれたりする。一般に、ブラダー152は、研磨表
面44と調整リング200の間の表面圧力が研磨表面4
4と基板10の間の表面圧力に等しくなるように膨張さ
せるべきである。研磨パッド42が固定研削パッドであ
る場合、表面圧力は、通常は1−6psiであり、好ま
しくは約4psiである。
【0061】ほとんどのCMPプロセスにおいて、特に
固定研削パッドが用いられるプロセスにおいては、調整
リング200は、組織表面を有する適度に可撓性の材料
から成っているべきである。繊維強化されたエポキシ材
料(繊維強化ガラス又はカーボン・ファイバなど)が使
用可能であり、アライド・シグナル社(AlliedS
ignal)から入手可能なFR−4材料のような織物
構造を有する材料が特に使用可能である。FR−4調整
リングが固定研削パッド上で用いられる場合、パッドは
比較的速い除去速度(通常は約2500オングストロー
ム/min)で基板を研磨する。プラスチック及びセラ
ミックスなどののような材料もまた、調整リング中に使
用可能であるが、プラスチックは可撓性が強すぎ、セラ
ミックスは剛性が強すぎて研磨パッドを効果的に調整で
きない傾向にある。プラスチック又はセラミックのリン
グが固定研削パッド上で用いられると、パッドは比較的
遅い除去速度(通常は約1200−1500オングスト
ローム/min)で基板を研磨する。
【0062】要約すると、本発明による調整装置は、キ
ャリヤ・ヘッドに接続された調整リングを含んでもよ
い。調整リングは、キャリヤ・ヘッドの保持リング・ア
センブリの表面に接続されてもよい。調整リングは、継
続的にまたは間欠的に研磨パッドと接触させられてもよ
い。研磨パッドは、基板研磨中に調整されてもよい。
【0063】本発明は、一好適実施態様について説明し
てきた。しかしながら、本発明は、図示され、説明され
た実施態様に限定されない。例えば、ブラダー152は
(ブラダー250も同様)、外部フランジ150を上昇
又は下降させるようなモータ駆動リードねじアセンブ
リ、又はハウジング支持プレート110、壁116、内
部フランジ148、外部フランジ150によって画成さ
れたる閉式気圧チャンバなどの別の圧力発生源で置き換
えてもよい。本発明の範囲は、添付した特許請求の範囲
によって定義される。
【0064】本明細書に含まれその一部を形成する添付
図面は、本発明の実施態様を概略的に図示し、上述の一
般的説明と以下の実施態様の詳細な説明と共に、本発明
の原理を説明する助けとなるものである。
【図面の簡単な説明】
【図1】化学的機械的研磨装置の概略分解斜視図であ
る。
【図2】上部ハウジングを除去した状態の化学的機械的
研磨装置のカルーセル(carousel)の概略上面図である。
【図3】図2のカルーセルを線3−3に沿った断面図で
ある。
【図4】本発明に従った調整装置を含むキャリヤの概略
断面図である。
【図5】本発明による調整装置の概略分解部分断面図で
ある。
【図6】調整装置が研磨パッドに相対した後退位置に存
在する図4のキャリヤの一部分の断面図である。
【図7】調整装置が研磨パッドと接触する位置に存在す
る図4のキャリヤの1部分の断面図である。
【図8】調整表面を示す、本発明による調整装置の概略
底面図である。
【図9】調整リングがキャリヤの保持リング・アセンブ
リに接続されているキャリヤの一部分の断面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 カオ ショウ−チャン アメリカ合衆国, カリフォルニア州, フリーモント, アラパホ アヴェニュー 44243 (72)発明者 シャーウッド マイケル アメリカ合衆国, カリフォルニア州, フリーモント, クロムウェル プレイス 34165

Claims (34)

    【特許請求の範囲】
  1. 【請求項1】基板を研磨表面上に保持するキャリヤと、 前記キャリヤの一部として形成された調整表面を含む化
    学的機械的研磨システム内で使用するための装置。
  2. 【請求項2】前記研磨表面と接触しない第1の位置と前
    記研磨表面と接触する第2の表面との間で前記調整表面
    を移動するための配置メカニズムをさらに含む請求項1
    に記載の装置。
  3. 【請求項3】前記配置メカニズムが、キャリヤの基板受
    領表面を基準として調整表面を移動するように適合され
    ている請求項2に記載の装置。
  4. 【請求項4】前記配置メカニズムが、前記調整表面を前
    記研磨表面に対してバイアスさせるために適合されたバ
    イアス・アセンブリを含む請求項2に記載の装置。
  5. 【請求項5】前記バイアス・アセンブリが、膨張可能ブ
    ラダーを含む請求項4に記載の装置。
  6. 【請求項6】前記配置メカニズムが、前記調整表面をバ
    イアスして前記研磨表面から離すよう適合されたバイア
    ス・アセンブリを含む請求項2に記載の装置。
  7. 【請求項7】前記バイアス・アセンブリが、スプリング
    を含む請求項6に記載の装置。
  8. 【請求項8】前記調整表面を有する調整器をさらに含む
    請求項1に記載の装置。
  9. 【請求項9】前記調整器と前記キャリヤの間に配置され
    た低摩擦ベアリング表面をさらに含む請求項8に記載の
    装置。
  10. 【請求項10】前記調整器と前記キャリヤを接続する回
    転制限部材をさらに含む請求項8に記載の装置。
  11. 【請求項11】前記回転制限部材が、前記キャリヤと前
    記調整器の間のキー及びキー溝接続部を含む請求項10
    に記載の装置。
  12. 【請求項12】前記調整表面が、前記キャリヤの周辺に
    伸張する請求項1に記載の装置。
  13. 【請求項13】前記調整表面が、基板へのスラリの分配
    を可能にするチャネルを有する請求項1に記載の装置。
  14. 【請求項14】前記キャリヤが、前記研磨表面を横断し
    て基板及び前記調整表面を移動するよう適合されている
    請求項1に記載の装置。
  15. 【請求項15】化学的機械的研磨システム内で研磨表面
    上に基板を保持するキャリヤ・ヘッドであって、前記キ
    ャリヤ・ヘッドが、 ハウジング・アセンブリと、 前記研磨表面を調整する調整部材を含むキャリヤ・ヘッ
    ド。
  16. 【請求項16】基板を研磨表面上に保持するために適合
    された保持部材をさらに含む請求項15に記載のキャリ
    ヤ・ヘッド。
  17. 【請求項17】基板と調整部材が異なった時間で前記研
    磨表面と接触する請求項16に記載のキャリヤ・ヘッ
    ド。
  18. 【請求項18】前記調整部材が、保持部材の表面に接続
    される請求項16に記載のキャリヤ・ヘッド。
  19. 【請求項19】キャリヤ・ヘッドが、基板を前記研磨表
    面を横断して移動するよう適合されている請求項15に
    記載のキャリヤ・ヘッド。
  20. 【請求項20】化学的機械的研磨システム内で使用され
    る保持装置において、前記保持装置が、 基板を前記研磨表面に対する位置に保持するよう適合さ
    れた第1の表面と、 前記研磨表面を調整するよう適合された第2の表面を含
    む保持装置。
  21. 【請求項21】研磨表面が、基板を研磨している間、第
    2の表面が、研磨表面を調整するよう適合された請求項
    20に記載の装置。
  22. 【請求項22】保持装置が、研磨表面を横断して基板及
    び第2の表面を移動するよう適合された請求項20に記
    載の装置。
  23. 【請求項23】研磨表面が基板を研磨するにつれて研磨
    表面を調整するよう適合された調整表面と、 基板へのスラリの分配を可能にするため表面上に形成さ
    れたチャネル、を含む調整器。
  24. 【請求項24】前記チャネルが弧状である請求項23に
    記載の調整器。
  25. 【請求項25】前記調整器が、基板を持つ研磨表面を横
    断して移動するよう適合された請求項23に記載の調整
    器。
  26. 【請求項26】前記調整表面が、基板を円形に囲むよう
    適合された請求項23に記載の調整器。
  27. 【請求項27】調整器が、基板を前記研磨表面に対して
    保持するよう適合された保持装置に固定されている請求
    項23に記載の調整器。
  28. 【請求項28】基板を研磨する間に、化学的機械的研磨
    システム内において研磨表面を調整する方法であって、
    前記方法が、 基板を研磨表面に対して押し付けるためにキャリヤ・ア
    センブリを操作すること、及び研磨表面を調整するため
    に前記研磨表面に対してキャリヤ・アセンブリの調整表
    面を同時に押しつけることを含む方法。
  29. 【請求項29】化学的機械的研磨システムを操作する方
    法であって、前記方法が、 研磨表面で基板を研磨すること、及び基板を研磨してい
    る間に研磨表面を調整することを含む方法。
  30. 【請求項30】化学的機械的研磨システム内において使
    用のための装置であって、 基板を研磨表面上に保持する保持部材と;保持部材の一
    部として形成され、研磨表面が前記基板を研磨している
    間に研磨表面を調整する調整表面とを含むキャリヤ・ヘ
    ッドと、 研磨表面と接触しない第1の位置と研磨表面と接触する
    第2の位置の間でキャリヤ・ヘッドを移動するよう適合
    された配置メカニズムであって、前記配置メカニズム
    が、研磨表面に対して調整表面をバイアスするよう適合
    されたバイアス・メカニズムおよび研磨表面から調整表
    面をバイアスさせて離すよう調整されたスプリングを有
    する配置メカニズムとを含み、 調整表面が、基板へのスラリの分配を可能にするチャネ
    ルを含み、 キャリヤ・ヘッドが、前記研磨表面を横断して基板と調
    整表面を移動するよう適合された化学的機械的研磨シス
    テム内で使用のための装置。
  31. 【請求項31】回転可能プラテンと、 前記回転可能プラテンに固定された研磨表面と、 キャリヤ・ヘッドであって、前記キャリヤ・ヘッドが:
    基板を前記研磨表面上に保持する保持部材と;前記研磨
    表面を調整するよう適合された調整表面と;を有するキ
    ャリヤ・ヘッドと、 研磨表面に対して基板と調整表面を選択的に駆動する配
    置メカニズムと、 液体を研磨表面に分配する構成部品と、を含む化学的機
    械的研磨装置。
  32. 【請求項32】調整表面が、保持部材の一部を含む請求
    項31に記載の装置。
  33. 【請求項33】配置メカニズムが、基板が研磨されてい
    る間に、調整表面を研磨表面に対して駆動する請求項3
    1に記載の装置。
  34. 【請求項34】調整表面が、基板への液体の分配を可能
    にするチャネルを含む請求項31に記載の装置。
JP5840198A 1997-03-10 1998-03-10 化学的機械的研磨システム中で研磨パッドを調整する方法と装置 Withdrawn JPH10249707A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/814,436 US6019670A (en) 1997-03-10 1997-03-10 Method and apparatus for conditioning a polishing pad in a chemical mechanical polishing system
US08/814436 1997-03-10

Publications (1)

Publication Number Publication Date
JPH10249707A true JPH10249707A (ja) 1998-09-22

Family

ID=25215052

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5840198A Withdrawn JPH10249707A (ja) 1997-03-10 1998-03-10 化学的機械的研磨システム中で研磨パッドを調整する方法と装置

Country Status (2)

Country Link
US (1) US6019670A (ja)
JP (1) JPH10249707A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001060571A (ja) * 1999-06-18 2001-03-06 Applied Materials Inc ケミカルメカニカルポリッシャ用のウェーハ搬送ステーション
EP1110668A2 (en) * 1999-12-17 2001-06-27 Fujikoshi Machinery Corporation Wafer holding unit for polishing machine
EP1371449A2 (en) * 1999-03-03 2003-12-17 Mitsubishi Materials Corporation Chemical mechanical polishing head having floating retaining ring and carrier with multi-zone polishing pressure control
KR100636455B1 (ko) * 1998-12-30 2006-10-18 어플라이드 머티어리얼스, 인코포레이티드 화학 기계적 연마를 위한 제어가능한 압력 및 부하 영역을갖는 캐리어 헤드
KR20160027324A (ko) * 2014-08-28 2016-03-10 삼성전자주식회사 화학적 기계적 연마 장치

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100538540B1 (ko) * 1997-04-08 2006-06-16 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치
US6004196A (en) * 1998-02-27 1999-12-21 Micron Technology, Inc. Polishing pad refurbisher for in situ, real-time conditioning and cleaning of a polishing pad used in chemical-mechanical polishing of microelectronic substrates
JP3959173B2 (ja) * 1998-03-27 2007-08-15 株式会社東芝 研磨装置及び研磨加工方法
US6200199B1 (en) * 1998-03-31 2001-03-13 Applied Materials, Inc. Chemical mechanical polishing conditioner
JP2917992B1 (ja) * 1998-04-10 1999-07-12 日本電気株式会社 研磨装置
SG142143A1 (en) * 1998-04-28 2008-05-28 Ebara Corp Abrading plate and polishing method using the same
US6251215B1 (en) 1998-06-03 2001-06-26 Applied Materials, Inc. Carrier head with a multilayer retaining ring for chemical mechanical polishing
US6354918B1 (en) * 1998-06-19 2002-03-12 Ebara Corporation Apparatus and method for polishing workpiece
US6231428B1 (en) * 1999-03-03 2001-05-15 Mitsubishi Materials Corporation Chemical mechanical polishing head assembly having floating wafer carrier and retaining ring
TW467795B (en) * 1999-03-15 2001-12-11 Mitsubishi Materials Corp Wafer transporting device, wafer polishing device and method for making wafers
US6234868B1 (en) * 1999-04-30 2001-05-22 Lucent Technologies Inc. Apparatus and method for conditioning a polishing pad
US6225224B1 (en) * 1999-05-19 2001-05-01 Infineon Technologies Norht America Corp. System for dispensing polishing liquid during chemical mechanical polishing of a semiconductor wafer
US6093086A (en) * 1999-09-24 2000-07-25 Lucent Technologies Inc. Polishing head release mechanism
US6343975B1 (en) * 1999-10-05 2002-02-05 Peter Mok Chemical-mechanical polishing apparatus with circular motion pads
US6447374B1 (en) 1999-12-17 2002-09-10 Applied Materials, Inc. Chemical mechanical planarization system
US6607428B2 (en) 2000-01-18 2003-08-19 Applied Materials, Inc. Material for use in carrier and polishing pads
US6517414B1 (en) 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6264540B1 (en) * 2000-03-30 2001-07-24 Speedfam-Ipec Corporation Method and apparatus for disposable bladder carrier assembly
US6616513B1 (en) * 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
JP2001338901A (ja) * 2000-05-26 2001-12-07 Hitachi Ltd 平坦化加工方法及び、装置並びに,半導体装置の製造方法
US6447380B1 (en) * 2000-06-30 2002-09-10 Lam Research Corporation Polishing apparatus and substrate retainer ring providing continuous slurry distribution
US7255637B2 (en) * 2000-09-08 2007-08-14 Applied Materials, Inc. Carrier head vibration damping
US7497767B2 (en) * 2000-09-08 2009-03-03 Applied Materials, Inc. Vibration damping during chemical mechanical polishing
US6676497B1 (en) * 2000-09-08 2004-01-13 Applied Materials Inc. Vibration damping in a chemical mechanical polishing system
US6572446B1 (en) 2000-09-18 2003-06-03 Applied Materials Inc. Chemical mechanical polishing pad conditioning element with discrete points and compliant membrane
JP3922887B2 (ja) * 2001-03-16 2007-05-30 株式会社荏原製作所 ドレッサ及びポリッシング装置
US20030109204A1 (en) * 2001-12-06 2003-06-12 Kinik Company Fixed abrasive CMP pad dresser and associated methods
US6835125B1 (en) 2001-12-27 2004-12-28 Applied Materials Inc. Retainer with a wear surface for chemical mechanical polishing
US6841480B2 (en) 2002-02-04 2005-01-11 Infineon Technologies Ag Polyelectrolyte dispensing polishing pad, production thereof and method of polishing a substrate
DE10208414B4 (de) * 2002-02-27 2013-01-10 Advanced Micro Devices, Inc. Vorrichtung mit einem verbesserten Polierkissenaufbereiter für das chemisch mechanische Polieren
DE10261306B4 (de) * 2002-12-27 2010-02-25 Advanced Micro Devices, Inc., Sunnyvale Haltering mit reduzierter Abnutzungs- und Kontaminationsrate für einen Polierkopf einer CMP-Anlage und Polierkopf und CMP-Vorrichtung mit Haltering
TWM255104U (en) * 2003-02-05 2005-01-11 Applied Materials Inc Retaining ring with flange for chemical mechanical polishing
US7367872B2 (en) * 2003-04-08 2008-05-06 Applied Materials, Inc. Conditioner disk for use in chemical mechanical polishing
US6905399B2 (en) * 2003-04-10 2005-06-14 Applied Materials, Inc. Conditioning mechanism for chemical mechanical polishing
US20060180486A1 (en) * 2003-04-21 2006-08-17 Bennett David W Modular panel and storage system for flat items such as media discs and holders therefor
DE10332624A1 (de) * 2003-07-17 2005-02-24 Siltronic Ag Verrundete Retainerringe
US6918821B2 (en) * 2003-11-12 2005-07-19 Dow Global Technologies, Inc. Materials and methods for low pressure chemical-mechanical planarization
US7033252B2 (en) * 2004-03-05 2006-04-25 Strasbaugh Wafer carrier with pressurized membrane and retaining ring actuator
JP2005340328A (ja) * 2004-05-25 2005-12-08 Fujitsu Ltd 半導体装置の製造方法
US7232363B2 (en) * 2004-07-22 2007-06-19 Applied Materials, Inc. Polishing solution retainer
US7597608B2 (en) * 2006-10-30 2009-10-06 Applied Materials, Inc. Pad conditioning device with flexible media mount
US7575504B2 (en) * 2006-11-22 2009-08-18 Applied Materials, Inc. Retaining ring, flexible membrane for applying load to a retaining ring, and retaining ring assembly
US7654888B2 (en) * 2006-11-22 2010-02-02 Applied Materials, Inc. Carrier head with retaining ring and carrier ring
US7699688B2 (en) * 2006-11-22 2010-04-20 Applied Materials, Inc. Carrier ring for carrier head
US8033895B2 (en) * 2007-07-19 2011-10-11 Applied Materials, Inc. Retaining ring with shaped profile
JP2010194704A (ja) * 2009-01-27 2010-09-09 Shinano Denki Seiren Kk 定盤修正用砥石、定盤修正用研磨装置及び研磨定盤の修正方法
KR101160266B1 (ko) * 2009-10-07 2012-06-27 주식회사 엘지실트론 웨이퍼 지지 부재, 그 제조방법 및 이를 포함하는 웨이퍼 연마 유닛
KR101814185B1 (ko) * 2010-08-06 2018-01-02 어플라이드 머티어리얼스, 인코포레이티드 유지 링에 의한 기판 엣지 튜닝
US8740673B2 (en) * 2010-10-05 2014-06-03 Strasbaugh CMP retaining ring with soft retaining ring insert
KR102014492B1 (ko) * 2011-09-12 2019-08-26 어플라이드 머티어리얼스, 인코포레이티드 복합 플라스틱 부분들을 구비한 캐리어 헤드
TWI639485B (zh) * 2012-01-31 2018-11-01 日商荏原製作所股份有限公司 Substrate holding device, polishing device, and polishing method
US9011207B2 (en) * 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US8845394B2 (en) * 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US8998677B2 (en) * 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
US9039488B2 (en) * 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
US8998678B2 (en) * 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US9227297B2 (en) * 2013-03-20 2016-01-05 Applied Materials, Inc. Retaining ring with attachable segments
US9604340B2 (en) * 2013-12-13 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Carrier head having abrasive structure on retainer ring
US10265829B2 (en) * 2015-10-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing system
JP2022073074A (ja) 2020-10-30 2022-05-17 株式会社荏原製作所 基板を保持するためのヘッドおよび基板処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5081051A (en) * 1990-09-12 1992-01-14 Intel Corporation Method for conditioning the surface of a polishing pad
US5216843A (en) * 1992-09-24 1993-06-08 Intel Corporation Polishing pad conditioning apparatus for wafer planarization process
US5433650A (en) * 1993-05-03 1995-07-18 Motorola, Inc. Method for polishing a substrate
US5456627A (en) * 1993-12-20 1995-10-10 Westech Systems, Inc. Conditioner for a polishing pad and method therefor
US5486131A (en) * 1994-01-04 1996-01-23 Speedfam Corporation Device for conditioning polishing pads
US5650039A (en) * 1994-03-02 1997-07-22 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved slurry distribution
JPH08281550A (ja) * 1995-04-14 1996-10-29 Sony Corp 研磨装置及びその補正方法
US5569062A (en) * 1995-07-03 1996-10-29 Speedfam Corporation Polishing pad conditioning

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100636455B1 (ko) * 1998-12-30 2006-10-18 어플라이드 머티어리얼스, 인코포레이티드 화학 기계적 연마를 위한 제어가능한 압력 및 부하 영역을갖는 캐리어 헤드
EP1371449A2 (en) * 1999-03-03 2003-12-17 Mitsubishi Materials Corporation Chemical mechanical polishing head having floating retaining ring and carrier with multi-zone polishing pressure control
EP1371449A3 (en) * 1999-03-03 2004-04-21 Mitsubishi Materials Corporation Chemical mechanical polishing head having floating retaining ring and carrier with multi-zone polishing pressure control
EP1837122A3 (en) * 1999-03-03 2007-10-17 Ebara Corporation Chemical mechanical polishing head having floating retaining ring and carrier with multi-zone polishing pressure control
JP2001060571A (ja) * 1999-06-18 2001-03-06 Applied Materials Inc ケミカルメカニカルポリッシャ用のウェーハ搬送ステーション
JP2011061237A (ja) * 1999-06-18 2011-03-24 Applied Materials Inc ケミカルメカニカルポリッシャ用のウェーハ搬送ステーション
EP1110668A2 (en) * 1999-12-17 2001-06-27 Fujikoshi Machinery Corporation Wafer holding unit for polishing machine
EP1110668A3 (en) * 1999-12-17 2003-10-22 Fujikoshi Machinery Corporation Wafer holding unit for polishing machine
KR20160027324A (ko) * 2014-08-28 2016-03-10 삼성전자주식회사 화학적 기계적 연마 장치

Also Published As

Publication number Publication date
US6019670A (en) 2000-02-01

Similar Documents

Publication Publication Date Title
JPH10249707A (ja) 化学的機械的研磨システム中で研磨パッドを調整する方法と装置
US6443823B1 (en) Carrier head with layer of conformable material for a chemical mechanical polishing system
JP4413421B2 (ja) 可撓膜を有するケミカルメカニカルポリシングシステム用キャリヤヘッド
US5681215A (en) Carrier head design for a chemical mechanical polishing apparatus
KR100363070B1 (ko) 화학기계연마장치용캐리어헤드
US6135859A (en) Chemical mechanical polishing with a polishing sheet and a support sheet
US6241585B1 (en) Apparatus and method for chemical mechanical polishing
US7108592B2 (en) Substrate holding apparatus and polishing apparatus
US6143127A (en) Carrier head with a retaining ring for a chemical mechanical polishing system
US20030060143A1 (en) Chemical mechanical polishing apparatus with rotating belt
US6241583B1 (en) Chemical mechanical polishing with a plurality of polishing sheets
US6855043B1 (en) Carrier head with a modified flexible membrane
US6872131B2 (en) Method and apparatus for chemical-mechanical planarization of microelectronic substrates with a carrier and membrane
EP0835723A1 (en) A carrier head with a layer of conformable material for a chemical mechanical polishing system
JPH1071561A (ja) ケミカルメカニカルポリシングシステムの基板研磨用クロスハッチ付きポリシングパッド
JP2003289057A (ja) ケミカルメカニカルポリシング装置のキャリアヘッドのデザイン
KR20010039710A (ko) 변조된 가요성 막을 가진 캐리어 헤드

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050510