JP2000501771A - 化学機械研磨組成物及び化学機械研磨方法 - Google Patents

化学機械研磨組成物及び化学機械研磨方法

Info

Publication number
JP2000501771A
JP2000501771A JP10508852A JP50885298A JP2000501771A JP 2000501771 A JP2000501771 A JP 2000501771A JP 10508852 A JP10508852 A JP 10508852A JP 50885298 A JP50885298 A JP 50885298A JP 2000501771 A JP2000501771 A JP 2000501771A
Authority
JP
Japan
Prior art keywords
mechanical polishing
chemical mechanical
metal
compound
slurry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10508852A
Other languages
English (en)
Other versions
JP4202424B2 (ja
JP2000501771A5 (ja
Inventor
ロバート ジェイ スモール
ローレンス マックギー
ディヴィッド ジョン マロニー
マリア ルイーズ ピーターソン
Original Assignee
イーケイシー テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=21814282&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2000501771(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by イーケイシー テクノロジー インコーポレイテッド filed Critical イーケイシー テクノロジー インコーポレイテッド
Publication of JP2000501771A publication Critical patent/JP2000501771A/ja
Publication of JP2000501771A5 publication Critical patent/JP2000501771A5/ja
Application granted granted Critical
Publication of JP4202424B2 publication Critical patent/JP4202424B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/959Mechanical polishing of wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 化学機械研磨用組成物はスラリーを含む。充分な量の選択的酸化還元化合物が組成物中に用意されて金属及び誘電体の差別的除去を生じる。pH調節化合物が組成物のpHを調節して選択的酸化還元化合物に金属と誘電体の差別的除去を与えるようにするpHを与える。化学機械研磨用組成物は化学機械研磨に有効な量のヒドロキシルアミン化合物、過硫酸アンモニウム、過酸化水素の間接源である化合物、過酢酸または過ヨウ素酸を含むことにより改良される。化学機械研磨方法はスラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じることを含む。選択的酸化還元化合物が適用されて金属と誘電体の差別的除去を生じる。スラリー及び選択的酸化還元化合物のpHが調節されて、金属及び誘電体の差別的除去を与える。化学機械研磨方法はスラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じ、そして化学機械研磨に有効な量のヒドロキシルアミン化合物、過硫酸アンモニウム、過酸化水素の間接源である化合物、過酢酸または過ヨウ素酸を適用することを含む。

Description

【発明の詳細な説明】 化学機械研磨組成物及び化学機械研磨方法 関連出願の相互参照 この出願は1996年7月26日に出願された米国特許仮出願第60/023,299号の一部 継続出願である。 序論 技術分野 本発明は半導体ウエハの化学機械研磨または平面化(planarization)のための 改良された組成物及び方法に関する。更に詳しくは、本発明は最新の集積回路加 工の厳格な要件を満たすように適応されるこのような組成物及び方法に関する。背景 化学機械研磨(または平面化)(CMP)は半導体工業の急速に成長している部門で ある。CMP はウエハ表面(通常のナノメートル寸法に代えて領域のミリメートル 数)に広域平面化を与える。この平面性(planarity)は誘電体(絶縁体)及び金 属基板によるウエハの被覆を改良し、リソグラフィー、エッチング及び蒸着方法 の許容範囲を増大する。多数の装置会社及び消耗品製造業者(スラリー、研磨パ ッド、等)が市場に参入している。 CMP は過去十年にわたって発展しており、層間誘電体(ILD)の平面化及び多層 金属(MLM)構造に適していた。1980年代中に、IBM はCMP プロセスに関する基礎 を開発した。従来(そして依然として多くの加工業(fabs)で現在使用されている )、プラズマエッチングもしくは反応性イオンエッチング(RIE)、SOG(“スピン ・オン・グラズ”)、または、例えば、ホウ素リンスピン・オン・グラス(BPSG) によるリフローが局所平面化の或る型を達成するための唯一の方法であった。広 域平面化はチップ全体を取扱い、一方、“局所”平面化は通常約50平方ミクロン の領域をカバーするにすぎない。 1991年のサンタ・クララ、CAにおけるVMIC会議で、IBM はCMP プロセスに関す る最初のデータを提示した。1993年のVMIC会議で、IBM は銅ダマセン(damascene ) (絶縁体トレンチに金属ラインを設ける)プロセスがCMP 加工程によるMLM 要件 について実施可能であることを示した。1995年に、最初のタングステン研磨スラ リーが商業化された。 半導体工業に関する国立技術ロードマップ(1994)は、0.35ミクロンの特徴サイ ズを有する現行のコンピューターチップが2001年に0.18ミクロンの特徴サイズに 減少されることを示す。DRAMチップは1ギガビットのメモリーを有し、典型的な CPU は1300万のトランジスタ/cm2を有するであろう(現在、それらは400 万を含 むにすぎない)。金属層(ワイヤ)の数は現在の2-3 から5-6 に増加し、操作周 波数(これは現在200 MHz である)は500 MHz に増加するであろう。これはウエ ハチップの三次元構造が電気信号の遅れを減少する必要を増大するであろう。現 在、約840 メートルの“ワイヤ/チップ”があるが、2001年までに(かなりの設 計変化がない場合)、典型的なチップは10,000メートルを有するであろう。ワイ ヤのこの長さはチップの速度性能をひどく悪化するであろう。 現在のウエハCD(臨界寸法)に必要とされる広域平面化は焦点の深度を改良し 、良好な薄い金属膜蒸着及びステップ被覆をもたらし、続いてウエハ歩留りを増 大し、コスト/装置を低減する。現在、それは現行の制限された平面化プロセス で約114ドル/層/ウエハのコストになると推定される(1996年)。形状寸法が0. 35ミクロンより小さくなるので、良好なリソグラフィーに関する平面性要件が重 要になる。CMP は多金属レベル及びダマセンプロセスについて必須ではないとし ても重要になりつつある。 CMP プロセスは研磨媒体及び表面材料を研削する(削り取る)研磨パッドの存 在下で回転プラテン上のウエハの簡単な回転であることが明らかであろう。実際 に、CMP プロセスは二つのパートのメカニズムであると考えられる。工程の一つ はその材料の表面を化学的に改質することからなり、次いで最後の工程で、変化 された材料が機械研削により除去される。そのプロセスの挑戦は基板の化学侵食 及び研削の速度を調節し、しかも所望の特性に有意な損傷を生じないで有害なウ エハ特性を除去するための高い選択性(優先性)を維持することである。CMP プ ロセスは調節された腐食プロセスに極めて似ている。 追加される複雑さは、実際にウエハが、可撓性である極めて薄い基板上で全て つくられる、広く異なる機械特性、電気特性及び化学特性を有する材料の複雑な サンドイッチであることである。 CMP プロセスは金属構造“ディッシング(dishing)”及び酸化物腐食に影響す る構造パターン密度に非常に感受性である。大きい領域の特性は小さい領域の特 性よりも遅く平面化される。 CMP に関する最近のSEMICON/サウスウェスト95技術プログラムで、“金属CMP はサブミクロンの深さの集積回路中の導体規定に主要なプロセスになる機会を有 する”ことが述べられた。それがそうなるかどうかは、競合コストで成功裏の集 積プロセスフローを達成する際のCMP 技術の相対的な成功に依存する。 スラリー:CMP は酸化ケイ素、BPSG、及び窒化ケイ素そしてまた金属膜の誘電 体間レベル(IDL)の平面化に成功裏に適用されていた。現在研究されている金属 膜はタングステン(W)、アルミニウム(Al)及び銅(Cu)である。 研磨スラリーはCMP プロセスの重要な部分である。研磨スラリーは通常水溶液 中の研磨材懸濁液(シリカ、アルミナ等)からなる。研磨材の型及びサイズ、溶 液pH及び酸化化学反応の存在(または不在)がCMP プロセスの成功に非常に重要 である。 金属CMP スラリーはウエハの誘電特性と較べて望ましくない金属を除去するの に高い選択性を有する必要がある。金属除去速度は金属プラグの過度の“ディッ シング”または酸化物基板の腐食を生じないで1700〜3500Å/分であるべきであ る。 酸化物CMP は同様の要件及び1700Å/分に近似する研磨速度を有する。 金属研磨:研磨のこの型は金属表面の酸化及びその後のエマルションスラリー による酸化物表面の研磨に頼る。このメカニズムにおいて、化学反応のpHが重要 である。一般式は以下のとおりである(M=金属原子)。 MO→Mn++ne- n++[Oxy→MOyまたは[M(OH)x] 理想的な条件下で、金属酸化物(MOy)の生成速度(Vf)は酸化物研磨の速度 (Vp)に等しいであろう(Vf=Vp)。pHが低すぎる場合(酸性)、化学 物質が酸化物に迅速に侵入し、金属を攻撃することができ(Vf<Vp)、こうし て更なる酸化物生成を生じないで金属を露出する。これは、高い位置及びくぼみ 中にある全ての金属表面が同じ速度で除去されることを意味する。表面の平面化 は得られない。これは金属プラグコネクターを平面化表面の下にへこませ(ディ ッシング)、これが最終的に不十分なステップ被覆そしておそらく不十分な接点 抵抗をもたらすであろう。 pHが高すぎる場合(アルカリ性)、酸化物層は化学物質に対し不透過性になる ことがあり、金属が不動態になり(Vf>Vp)、金属研磨速度が遅くなる。酸化 物に対する金属研磨選択性は金属の型に応じて一般に20〜100:1 の範囲である。 タングステン金属は金属対酸化物について>50:1 の選択性を有し、銅は>140:1の 金属対酸化物選択性を有し得る。エッチング速度は7000Å/分までであり得る。 化学拡散速度及び金属酸化物表面の型が成功裏の平面化プロセスにとって重要で ある。詳細なメカニズムがカウフマンにより提案されていた。 実際に、低いpH及び例の金属CMP プロセスで使用される高度に腐食性の酸化剤 (硝酸第二鉄)が研磨装置で腐食問題を生じた。現在、金属研磨工程に使用され る酸化剤は硝酸から過酸化水素、硝酸セシウム溶液及び硝酸第二鉄溶液更にはシ アン化第二鉄溶液に至る範囲であった。化学安定性の問題のために、多くのスラ リーが使用の時点でつくられ、それは貯蔵寿命が殆どないか、または全くないこ とを意味する。 金属平面化は、安定であり、かつ移動イオン汚染に寄与せず、装置を汚さず、 スラリー組成及びスラリー粒子分布に影響せず、かつ一般に環境にやさしい酸化 試薬を必要とする。現在の過酸化水素系はスラリーと予備混合される時に安定で はなく、それ故、別のポンプ輸送系で研磨装置に送出され、使用の時点で混合さ れる必要がある。硝酸第二鉄系は低pHを必要とし、研磨装置を汚すことが知られ ている。また、ヨウ素酸カリウム系は特別な取扱いを必要とする。 CMP の出現領域は銅ダマセンプロセスを取り扱うであろう。銅金属相互接続( ワイヤ)がAlと較べてその良好な導電性のために必要とされるであろう。銅によ る一つの重大な欠点は通常の操作条件下でシリカ中のその容易な拡散である。銅 ダマセンプロセスはこの銅拡散を防止するためにバリヤー層を必要とするであ ろう。 ダマセンプロセスにおいて、“ライン”またはトレンチが誘電体間層にエッチ ングされ、次いでこれらのトレンチの壁がバリヤー材料で被覆される。これらの 材料はその他の材料の中でTa、TaN、TiまたはTiN を含むことができる。次いで 銅金属が無電解メッキもしくは電極メッキ、またはPVD 方法もしくはCVD 方法に より付着される。次いでトレンチの上の過剰の銅が化学機械研磨により除去され る。CMP プロセスの困難な部分は過剰の銅を除去しないこと(“ディッシング” )であり、これは誘電体間層の下の銅金属を除去するであろう。 銅金属のCMP は広いpH範囲(2〜12)にわたって行い得る。銅に関するプール ベダイヤグラムは、銅が中性溶液または塩基性溶液中でのみ不動態化(酸化物層 )し得ることを示す。酸溶液中では、インヒビター、即ち、ベンゾトリアゾール (BTA)がCMP プロセスに使用される化学反応から等方性エッチング効果を調節す るのに通常必要とされる。CMP 研究の多くは種々のpH範囲で過酸化水素を用いて 行われていた。 或るCMP 研究は、銅錯体を生成する能力のために水酸化アンモニウムを用いて 行われていたが、銅及びチタン並びに酸化ケイ素の間の不十分な選択性の問題が ある。 層間誘電体(酸化物)研磨: 最近、ILD(酸化物)CMP を使用するエンジニア ーのグループがCMP 加工要件を優先にするように求められた。重大な関心は表面 損傷(引っ掻き等)、続いてウエハ(研磨)非一様性(ウエハ内及びウエハ間) 、次いで研磨速度そして最後に平面性であった。メカニズムが依然として開発さ れているが、研磨プロセスは二つの同時のプロセス:表面の塑性変形を伴う機械 的プロセス、及びシラノール結合を形成する水酸化物(OH-)による化学侵食を伴 うことが明らかである。 SiO2 + 2H2O <======>Si(OH)4(aq) pH<9 log Ks=-2.7 Si(OH)4 + OH- <======>SiO(OH)3 - + 1 H2O pH>9 log Ks=-1.7 SiO(OH)3 -======>多核種 pH>10.5 2Si(OH)4======>(HO)3Si-O-Si(OH)3 + H2O スラリー(コロイド懸濁液)中では、pHが重要であり、酸化ケイ素系について 、それは10〜11.5の範囲にあることを必要とする。現在、CMP 使用者は水酸化ナ トリウムで“緩衝”された酸化ケイ素をベースとするスラリーを使用しているが 、今では水酸化カリウム溶液または水酸化アンモニウム溶液で配合されている。 エッチング速度は1700Å/分付近であり得る。 pHが高すぎる場合、多核種が予測できない様式で沈殿し始めるかもしれない。 また、縮合プロセスがSi結合を形成する可能性がある。 エッチング速度及び最終表面状態に影響するケイ素表面のその他の重要な特徴 (金属汚染そしておそらく微小の引っ掻き)がある。上記のように、典型的なケ イ素表面は中性条件または塩基性条件下でOH基で終端される(覆われる)。ケ イ素表面は親水性である(その表面は“湿潤性”である)。これらの基は表面を 幾つかの可能な化学現象または物理吸着現象に活性化する。Si-0H 基は弱酸効果 を与え、これが塩の生成を可能にし、プロトン(H+)を種々の金属に交換する(イ オン交換樹脂と同様)。また、これらのSi-O-及びSi-OH はAl、Fe、Cu、Sn及びC aを錯生成するためのリガンドとして作用することができる。勿論、その表面は 非常に双極性であり、こうして静電電荷がそのバルク溶液のpH、イオン濃度及び 電荷に応じて蓄積し、または消失し得る。この蓄積された表面電荷がゼータ電位 として測定し得る。 酸化物層の下層のシリカ(Si)表面が過度の積極的な研磨プロセスのために露出 される場合、これは電気化学的問題を生じ得る。何となれば、シリカはCu、Au、 Pt、Pb、Hg及びAgにシリカ表面を“メッキ”させる適度の酸化還元電位を有する からである。また、光への暴露がCuについて酸化還元反応に影響するであろう。 光は半導体Si材料中で電子を“発生”し、次いでこれが銅イオンをCu0に還元す る。 後洗浄プロセス: ILD プロセス及び金属研磨プロセスの両方は最終的に最終 洗浄工程を通過して痕跡のスラリー及び化学物質を除去する必要がある。そのプ ロセスは簡単であることが明らかであり、即ち、ブラシスクラッブ及びリンスサ イクルであるが、そのプロセスが単面、二面スクラッビング、単一ウエハ加工も しくはバッチ加工、噴霧装置または更には浸漬タンクを伴うべきかどうかを測定 するためにかなりの努力が増やされている。最近、後洗浄CMP を研究しているエ ンジニアリンググループはウエハ洗浄性(スラリー及びパッド粒子並びに金属汚 染から)を後洗浄工程における最も重要な出来事としてランクした。プロセス信 頼性及び欠陥計測学が関係するその他の二つの重要な領域であった。 残留粒子レベルは約1粒子/20cm2であり、これらの粒子の90%が>0.2ミクロ ンサイズより小さい。0.35ミクロンのライン幅は0.035 以下の粒子の除去を必要 とするであろう。不完全な粒子除去はウエハ歩留りを低下するであろう。低い欠 陥(引っ掻き)レベル及び許容し得る平面性がまた非常に重要であろう。 殆どの加工業者(fabs)は後洗浄CMP 工程についてそれら自体のインハウス技術 を開発していた。“化学”の殆どは水酸化アンモニウムまたはHFを添加したDI水 を伴い、一方、幾つかの加工業者はフロントエンドプロセスに従来使用された通 常のRCA SC-1 (NH4OH:H2O2:H2O)洗浄工程及びSC-2(HCl:H2O2:H2O)洗浄工程を使 用している。 ウエハ表面から不純物(粒子及び/またはイオン)を除去するのに五つのメカ ニズムがある。 ・溶剤による物理的脱着:少数の強く吸着された物質を大容積の弱く吸着され る溶剤で置換する(表面電荷の相互作用を変化させる)。 ・表面電荷を酸または塩基で変化させる:Si-OH基またはM-OH 基は酸中でプロ トン化でき(正にされ)、またはプロトンを除去することにより塩基で負にされ る。 ・イオン競合:酸を添加することにより吸着された金属イオンを除去する(即 ち、イオン交換)。 ・不純物の酸化または分解:金属、有機物質またはスラリー粒子の表面の酸化 が不純物と基板表面の間の化学結合を変化するであろう。その化学反応は酸化還 元化学または遊離基によるものであってもよい。 ・表面のエッチング:不純物及び基板表面の或る厚さが溶解される。発明の要約 本発明の第一局面によれば、化学機械研磨用組成物はスラリーを含む。充分な 量の選択的酸化還元化合物が組成物中に用意されて金属及び誘電体の差別的除去 を生じる。pH調節化合物が組成物のpHを調節して、選択的酸化還元化合物が金属 及び誘電体の差別的除去を与えるようにするpHを与える。 本発明の第二局面によれば、化学機械研磨用組成物が化学機械研磨に有効な量 のヒドロキシルアミン化合物を含むことにより改良される。 本発明の第三局面によれば、化学機械研磨用組成物が過硫酸アンモニウムを含 むことにより改良される。 本発明の第四局面によれば、化学機械研磨用組成物が過酸化水素の間接源であ る化合物を含むことにより改良される。 本発明の第五局面によれば、化学機械研磨用組成物が過酢酸を含むことにより 改良される。 本発明の第六局面によれば、化学機械研磨用組成物が過ヨウ素酸を含むことに より改良される。 本発明の第七局面によれば、化学機械研磨方法はスラリーを金属及び誘電体表 面に適用して金属及び誘電体の機械的除去を生じることを含む。選択的酸化還元 化合物が適用されて金属及び誘電体の差別的除去を生じる。スラリー及び選択的 酸化還元化合物のpHが金属及び誘電体の差別的除去を与えるように調節される。 本発明の第八局面によれば、化学機械研磨方法はスラリーを金属及び誘電体表 面に適用して金属及び誘電体の機械的除去を生じ、化学機械研磨に有効な量のヒ ドロキシルアミン化合物を適用することを含む。 本発明の第九局面によれば、化学機械研磨方法はスラリーを金属及び誘電体表 面に適用して金属及び誘電体の機械的除去を生じ、化学機械研磨に有効な量の過 硫酸アンモニウムを適用することを含む。 本発明の第十局面によれば、化学機械研磨方法はスラリーを金属及び誘電体表 面に適用して金属及び誘電体の機械的除去を生じ、化学機械研磨に有効な量の過 酸化水素の間接源である化合物を適用することを含む。 本発明の第十一局面によれば、化学機械研磨方法はスラリーを金属及び誘電体 表面に適用して金属及び誘電体の機械的除去を生じ、化学機械研磨に有効な量の 過酢酸を適用することを含む。 本発明の第十二局面によれば、化学機械研磨方法はスラリーを金属及び誘電体 表面に適用して金属及び誘電体の機械的除去を生じ、化学機械研磨に有効な量の 過ヨウ素酸を適用することを含む。 図面の簡単な説明 図1及び図2は本発明の理解に有益な銅及び金属に関するプールベダイヤグラ ムである。 発明の詳細な説明 今や、CMP は新しい成長期に入っていることが明らかであり、これが優位性の 新しい群を強調する。これらの優位性は金属及び絶縁層中のCMP 欠陥を除去する こと、ウエハ中及びウエハ間の良好な平面性、使用混合の時点;一般の後CMP 洗 浄を回避する予備混合濃厚物並びに高い研磨選択性を含む。また、環境、健康及 び安全性の問題点がある。これらの問題点は(1)良好な蒸気取扱い(または蒸気 取扱いに関する低減された要件)、(2)可能なスラリー循環(または一層環境に やさしい使用済スラリー残渣)、(3)研磨材とともに使用するために一層安定な 化学物質及び(4)研磨工程中の良好な終点検出(EPD)である。 本発明はスラリー中の研磨材の組成または型(スラリー粒子サイズ、形状、粒 度分布、固形分%)に取り組むものではない。しかし、スラリーは追加の実験に より改良し得る多数のその他の成分(酸化剤、安定剤等)を有する。これらの成 分として、溶液pH、化学及び薬品の型並びにスラリー純度が挙げられる。この提 案された発明は化学物質及びその可能なpH、ゼータ電位、接触角(“湿潤”)及 びその他の関連効果に集中する。 本発明の第一期は異なるpH条件下のヒドロキシルアミン(HDA)及びヒドロキシ ルアミン誘導体(その塩化物、硫酸塩、硝酸塩またはその他の塩)に基くCMP 化 学の理解に集中する。HDA(NH2OH)はその酸化還元化学においてヒドラジン(NH2NH2 )と過酸化水素(H2O2)の混成と考えられる。HDA は一層選択的(調節可能) な酸化還元剤である。この二重の能力はpHを酸媒体から塩基媒体にシフトするこ とにより得られる。即ち、 Cu+2(NH4OH)→Cu+ pH9-11 EV=-0.08還元 Cu+(H2SO4)→Cu+2 pH約0-1 Ev=+0.34酸化 過酸化水素(酸性)及びHDA(酸及び塩基中)に関する酸化還元電位(SHEにおけ るEV)が示される。 H2O2→O2+2H+ + 2e-v =+0.68 2NH2OH + 4OH- →N2O + 5 H2O+4e-v =-1.05 2NH3OH+ →N2O + 6H+ + H2O + 4e-v =-0.05 幸いにも、少ない金属イオンが0酸化状態に還元され、これが金属粒子による ウエハ表面の汚染を避けるためにCMP プロセスで重要である。また、過酸化水素 研磨系は非常に安定ではなく、痕跡量の遷移金属により容易に分解される。現在 、CMP 消耗品供給業者は2成分送出系−スラリー用の1成分と過酸化物用の第二 成分を有する必要がある。 酸化還元剤であることの他に、HDA はアンモニアのようにAl(S04)2*NH2OH*H2O 及びCu(x)2*NH2OH*H2Oを含む多くの金属との複塩を生成することができる。 ヒドロキシルアミン型化合物を使用することの別の重要な利点はそれらの分解 生成物である。溶液pH及び金属イオン並びに濃度に依存して、HDA は水、窒素、 アンモニア及びN2Oに分解するであろう。窒素の生成は8より上のpHで遅い内部 酸化還元反応により起こる。 金属研磨: CMP プロセスについて現在研究されている金属として、AL、Cu、 及びWが挙げられる。プールベダイヤグラムは種々の研磨速度(腐食)について 最良の領域(Ev対pH)を調べるのに使用し得る。2金属系または合金系は化学 活性の同じ領域を有しないであろう。また、このデータを使用して、一種の金属 の研磨速度の選択性が同じウエハで別の金属(または酸化物もしくは窒化物物質 )よりもかなり大きいようにCMP 研磨条件を選ぶことが可能になるかもしれない 。プールベダイヤグラムはウエハ表面に現れる全ての金属、酸化物、窒化物及び その他の材料(それらが利用できるか否かを問わない)について得られる。ダイ ヤ グラムをオーバーレイすることにより、一種の材料について腐食性であり、一方 、他の材料について不動態化し得るpH領域をおおよそ測定することができる。こ れは高い選択性を探究するのに有益である一つの手段であり得る。図1はCuに関 するプールベダイヤグラムを示す。熱力学的データに基くこのダイヤグラムは、 銅、酸化第一銅(I)及び酸化第二銅(II)が本発明者らの世界の酸化還元環境中で 一緒に存在し得ることを示す(傾斜している平行な破線により描かれる)。また 、このデータは、これらの3種の化合物のいずれもが約6.8 末満のpH及び約0.2 ボルトよりも上の酸化電位で存在することができず、これらの化合物の全てが溶 解することを示す。 更に高いpH値では、3種の化合物が種々の陰イオン(Cu(OH)2及びCuO2 -)を含 んで水溶液中に存在することができる。 本発明は、CMP 方法を使用して、HDA またはその塩の使用が銅を除去するのに 使用し得ることを提案する。化学に基くHDA の使用の利点は、その酸化電位(Ev =-1.05 ボルト)が更に酸性の環境(更に低いpH)を必要とする通常の化学よ りも高いpHでCuを除去することを可能にすることである。 DI水中10%のヒドロキシルアミン硝酸塩を用いる最近の実験は、300ÅのTi金 属層の上の3000Åの銅金属がpH3、約100Å/分、pH4、約125Å/分及びpH5、 約1000Å/分できれいに除去し得ることを示した。これは正確にはプールベダイ ヤグラムから予想されたpH効果の逆であり、酸化電位の結果である。 遊離塩基ヒドロキシルアミン(DI水中5%)が同型の銅ウエハで試験された場 合、エッチング速度が100Å/分の速度を有する10%の水酸化アンモニウムと比較 して75Å/分に低下した。水酸化アンモニウム溶液は銅を非常に遅く溶解するこ とが知られているが、酸化剤(空気または酸素)が導入される場合、そのエッチ ング速度が全く測定可能であり得る。ヒドロキシルアミン溶液は還元媒体であり 、こうして銅エッチングが更に遅い。そのデータは、HDA が非常に調節された( 遅い)エッチング速度について使用し得ることを示す。 図2はアルミニウム金属に関するプールベダイヤグラムを示す。そのデータは 、純粋な金属Alが通常の酸化還元レジメ中に存在することができないが、酸化物 被覆物としてのみ存在することを示す。4〜10のpHでは、この酸化物層は溶解し な いであろう。 ブランケットAl金属ウエハを用いる実験は、Al金属及びその酸化物層が4また は10のpHでHAN を使用することにより除去し得ることを再度示すべきである。何 となれば、金属層が研磨される前に酸化物層を除去することが必要であるからで ある。濃度範囲は0.5 重量%から10重量%まで変化するであろう。 HDA 及びその精製についての本発明者らの理解は、ウエハの表面から移動イオ ン(ナトリウムイオン、カリウムイオン、鉄イオン及びその他の遷移金属イオン )を除去することを助けるHDA の能力についての特有の理解を本発明者らに与え た。CMP プロセスの全ての期がウエハ表面上の移動金属イオン及び遷移金属イオ ンの濃度を最小にすることが重要である。 キレート剤、例えば、アルキルβ−ジケトン(2,4−ペンタンジオン等)も しくはEDTAまたは芳香族フェノールアルデヒド(サリチルアルデヒド等)或いは その他の薬剤を添加することが可能である。これらの成分は2ppm から15重量% までの範囲の濃度で添加し得る。更に高い濃度が使用し得るが、これらのキレー ト剤はチップの構造に“メッキ”することがあり、またはあらゆる化学の有効性 を変化する可能性がある。ケトンをベースとする系はヒドロキシルアミンをベー スとする生成物と反応してそれら自体で良好なキレート剤であるオキシム誘導体 を生成するかもしれない。 その他の薬剤として、キレート剤としてのビス(ヒドロキシプロピル)ヒドロ キシルアミン、アニスアルデヒドまたはαヒドロキシイソ酪酸が挙げられる。ま た、その他の化合物は芳香族二酸素化化合物、べンゾイン及びべンジルであって もよい。 最近報告された水溶性鉄キレート剤は、HDA をベースとする化学に使用でき、 有望な結果を示すべきであるO−トレンソックス(TRENSOX)である。 カテコール及びカテコール誘導体が(モノ陰イオンまたはジ陰イオンのために )高pH条件で良好なキレート剤であることが知られているが、少ない研究が酸性 条件下でこのクラスの化合物で行われていたにすぎない。カテコールがpH3-5 で アルミニウムと錯生成するという諭文がある。 また、没食子酸が温和な酸性条件下で或る種の3〜12族金属(IUPAC命名法)と 錯生成力を有し得る別の化合物である。化合物のカテコール及び没食子酸ファミ リーが腐食抑制化合物(“高”濃度、即ち、0.5 〜15-20 重量%で)、またはpp m 〜0.5 重量%の範囲で金属キレート剤として作用することができる。 多くの酸素化化合物(フェノール、アルコール、或る種の有機酸等)について 、酸素原子が金属表面で空位を満たしていることが重要である。これらの空位は 不十分に組織化された表面酸化物膜のために形成され、かつ/またはそのpHが反 応を遅延し、またはその他の陰イオンが膜一様性に干渉する。化学環境があまり にも攻撃的である場合、表面に吸収される腐食抑制剤は表面から解離されるが、 それとともに金属イオンを運ぶであろう。腐食抑制剤は攻撃種の出現を与えるこ とができる。 HDA をベースとする化学のその他の利点は環境面、安全性面及び健康面である 。HDA は塩基性条件下で水、窒素、及び小濃度のNH3に分解する。HDA はその他 の窒素含有化合物、例えば、有機アミンと較べて軽度のアルカリ性である。酸性 条件下で、ヒドロキシルアミン化合物は水溶液中で非常に安定である。 CMP 使用者は潜在的な移動イオン汚染のために水酸化ナトリウムまたは水酸化 カリウムで作業することを好まない。多くの使用者は移動イオン問題の同じ大き さを有さず、かつ低い表面張力(良好な表面接触)を有する水酸化アンモニウム に切り替えていた。水酸化アンモニウムによる主な問題は非常に有効な換気系を 必要とするその臭気である。 別の重要な領域はスラリーのゼータ電位を理解し、そして可能な場合にそれを 調節することである。ゼータ電位は溶液中の夫々の粒子のまわりに存在する静電 二重層イオン(陰イオン及び陽イオン)の相互作用の静電気電位測定である。粒 子の型、即ち、アルミナ、シリカ、二酸化マンガン等、及び溶液pHに依存してゼ ータ電位は正または負であってもよい。不十分に設計されたスラリーはスラリー 粒子の沈降をもたらすゼータ電位を有し得る。これはCNP 研磨プロセス中のその 性能に非常に有害であり得る。 ゼータ電位の別の測定は粒子に関する等電点(IEP)である。IEP は、ゼータ電 位値が0であるpHである。化学組成及び源がIEP に有意な効果を有するであろう 。幾つかの選択された値:酸化アルミニウム粒子は3.8 〜9.4 で変化することが で き、一方、酸化ケイ素は1.5 〜3.7の狭い範囲を有する。 或る種の金属残渣IEP 値はTiO2について9.5 であり、一方、タングステンはお よそ約1である。このような値の広い範囲は最終的にウエハ表面に付着し得る粒 子のゼータ電位を調節する化学を開発するように重大な挑戦をもたらす。 別の関心事は、スラリー及び金属粒子並びにウエハの間のゼータ電位が、粒子 がウエハ表面に吸引され、付着するようなものであることである。これは、後CM P洗浄工程が付着している粒子を除去することを必要とするであろう。 ヒドロキシルアミンまたはヒドロキシルアミン塩は酸化還元反応または表面の 末端基との通常の化学反応により粒子表面と反応することができる。HDA 化学は pHを調節することにより化学的に“同調”でき、依然として金属CMPについて活 性であるので(上記のCu理論を参照のこと)、これは溶液スラリーゼータ電位に 影響する広いプロセスウィンドーを本発明者らに与えるであろう。この効果に関 する濃度はHDA の単一電荷のために1〜10重量%であるべきである。 ゼータ電位を変化する別法は表面活性剤(ノニオン系、カチオン系またはアニ オン系)を使用してウエハの表面電荷を低下することである。ヒドロキシルアミ ン化学は適当な表面活性剤と整合し得る。pH9.5 でオクチルフェノールポリエチ レン(9-10 エチレンオキサイド単位)を用いる実験は表面張力を低下し、また表 面粗さを低下した。アニオン系表面活性剤が正のゼータ電位を有する粒子につい て使用し得る。 酸化物研磨: 現在平面化される膜の幾つかとして、TEOS、BPSG、PSG 及びSO G が挙げられる。CMP のこの領域は成熟されていたが、9.5 〜10.5の“緩衝”pH 、及び低い移動イオン濃度(Na 及びK)でのEKCのHDA(50%のヒドロキシルアミン )化学が現在の酸化ケイ素スラリーに重要な新しい化学であり得る。 HDA 遊離塩基物質はシリカスラリーで種々のpH(7-11)で試験されるべきである 。そのスラリーに使用されるHDA の量は約2〜10%であるべきである。SIMSデー タは、移動イオン含量が一定に留まり、または減少されたことを示すべきである 。 また、水酸化アンモニウム溶液はケイ素表面を研磨するが、研磨プロセスから の蒸気が有効な方法で取り扱われる(除去される)必要がある。HDA 化学は同じ 臭気の強さを有しない。 酸化物CMP スラリーについてヒュームドシリカにアンモニウム塩を6-9 のpH範 囲で添加した研究は驚くべき結果を示す。高いpH(約9)が酸化ケイ素を速く研 磨すると予想されるが(Si結合に対する塩基の従来の化学侵食)、ハヤシらはpH 6で0.1 モルのアンモニウム塩溶液(塩化物、硫酸塩等)で酸化物を除去するの に著しい成功を遂げていた。pH7でさえも、その速度はpH9よりも速かった。こ れらの結果は粒子及び酸化物表面に“スラッシュ”を形成する粒子凝集(ヒュー ムドシリカのゼータ電位を変えることによる電気二重層の変化)を示唆する。ま た、残留粒子含量は6”ウエハについて5X105から2X103に低下されることが注目 された。この濃度範囲または更に小さい濃度範囲のヒドロキシルアミンが研磨速 度に同様の効果を有するべきではないことに根拠はない。塩の二つのグループの 間のpKb は異なり、これが再度本発明者らをして研磨速度を“精密同調”させる であろう。 一つの理論は、コロイドシリカがpHに非常に感受性であり、不十分なアルカリ イオンの存在のために8付近のpH値で凝集を受けることである。 二フッ化アンモニウムは上記マトリックスで評価すべき別の重要な成分である 。二酸化ケイ素はpHに応じて幾つかの溶解度領域を有する。低濃度(>1X10-3モル )かつ低pH(4-6)の二フッ化アンモニウムがシリカ構造を溶解するための“ウィン ドー”を拡大するのに有効であり得る。この化学領域がILD について全く新しい CMP 加工を開拓するかもしれない。濃度範囲はかなり狭く、即ち、1X10-5〜1X10-2 モルである必要がある。更に高い濃度では、化学物質が非常に迅速なエッチン グで通常のHFエッチング媒体(4-7のpH範囲で)として作用し始める。 一つの重要な領域は酸化物/窒化物系の研磨及び酸化物対窒化物の高い選択性 を得ることができることである。窒化物は酸化ケイ素型化合物への遅い酸化を受 けることが明らかであり、この化合物が通常の酸化物研磨プロセスを受ける。こ れは所望の研磨選択性を低下する。 HDA 遊離塩基は飽和窒素溶液であり、その遊離塩基が酸素と反応し、こうして 非常に不十分な酸化電位を有する溶液を生じるので、窒化物構造は容易に侵食さ れないことが可能である。こうして、酸化物対窒化物研磨選択性が高められるべ きである。 また、研究は、HDA 溶液が必要とされるCMP 条件で安定であるかどうか、そし て種々のその他の酸化ケイ素系(SOG、TEOS、BPSG 等)中に高められた選択性があ るか否かを測定することに向けられるであろう。 後CMP 洗浄: ウエハ表面の化学的性質(親水性または疎水性)は研磨工程後 に粒子をウエハ表面から除去するのに必要な方法及び溶液の型に影響するであろ う。ウエハ表面に関する粒子電荷は粒子を有効に除去する化学の型を決めるであ ろう。粒子のゼータ電位及びこの値に関する溶液pHの効果が理解される必要があ るであろう。アルミナ粒子は酸性条件で除去し得るが、酸化ケイ素物質は塩基性 溶液を必要とする。 同時に、溶液添加剤を使用して金属汚染物質をウエハ表面から除去することが 有利であるべきである。後洗浄操作からのウエハに関する残留粒子含量及び金属 汚染レベルの研究はこの情報とHDA 溶液pH及び添加剤のレベルの相関関係を可能 にする。これらの添加剤として、水溶性クラウンエーテル及び特定の金属キレー ト剤または緩衝クエン酸溶液が挙げられるであろう。 HDA 及びHDA 関連化合物はpH及び酸化還元化学により粒子及びウエハ表面に影 響し得るが、これらの化学種は分子当たり単一のイオン電荷を有するにすぎない (分子のサイズについてかなりの電荷密度が関係するが)。高度に帯電された化 合物である“高分子電解質”を添加することにより粒子付近またはウエハ上の静 電二重層を強化することが必要であるかもしれない。通常、高分子電解質は粒子 を一緒に凝集するように“強制”するのに充分に高い濃度で使用される。本発明 において、本発明者らは充分な高分子電解質を添加して粒子を互いに反発させ、 ウエハ表面から離れるように促したいと欲するにすぎない。これは後CMP 洗浄工 程を増進するであろう。この効果に関する濃度は1ppm から10重量%までの範囲 であり得る。 また、CMP 用途に使用でき、単独で、またはヒドロキシルアミン及びその塩を 含むその他の化学物質と組み合わせて使用し得る酸化還元試薬の幾つかのその他 の型がある。 本発明の別の局面によれば、CMP 方法を使用して、過硫酸アンモニウム(ペル オキシ二硫酸アンモニウム)がAl、銅またはタングステンを除去するのに使用し 得る。過硫酸アンモニウムは銅金属膜を電子部品ボードから剥離するのに使用さ れていたが、この物質は非常に調節された方法でCuを除去するのに使用されてい なかった。本発明者らは、この化学がCMP プロセス条件下でAl金属を研磨するの に使用されることを知らない。 タングステンCMP プロセスはタングステン酸(W04 = )イオンにより作用するこ とが明らかである。現行のCMP プロセスは酸性条件下の硝酸第二鉄または過酸化 水素に基いているが、この種を得るための別の実施可能な経路はW金属を塩基性 条件下で酸化剤で酸化することである。タングステン酸塩はpH>6で最高の溶解度 を有するべきである。 通常、過硫酸アンモニウム溶液は2〜3の範囲のpHを有する。本発明は、酸化 溶液のpHを高いpHに調節することにより、得られる溶液がW金属膜を研磨するの に非常に有効であることを説明する。実施例 以下の非限定実施例は本発明者らにより意図される最良の方法を表し、本発明 を更に説明する。これらの実施例において、溶液化学を以下のようにして試験し た。 実施例1 試験: 過硫酸アンモニウムの溶液を調製し、次いで5%のアルミナスラリー に添加した。pHを使用直前にNaOHで調節した。 CMP 実験は33rpm 及び2psi で10,000Åのタングステンウエハによるものであ った。パッドはロジテク(Logitech)P5M 研磨機のロデル(Rodell)RC 1000 であっ た。アルミナスラリーのみによるベースライン研磨実験は、ロジテクとIPEC/We −stech 工業サイズCMP 研磨機の間に8X〜10X 研磨係数があることを測定した。 10 %溶液 pH3 除去速度112Å 10 %溶液 pH6 除去速度105Å 10 %溶液 pH7.7 除去速度196Å 10 %溶液 pH7.9 除去速度198Å 5%溶液 pH9 除去速度176Å 7.9 付近のpHで最大値があることが明らかであることに注目のこと。 実施例2 試験:試験された別の組成物は種々の濃度のマロン酸(MA)を含む過硫酸アンモ ニウム(APS)を含んでいた。pHを水酸化ナトリウムで調節した。水酸化アンモニ ウムは窒素及び水に酸化されるであろう。 APS MA pH エッチング速度(Å/分) 10 % 1% 6 162 10 % 1% 8.1 460 10 % 0.4 % 8 291 5% 1% 8.8 265 10 % 0% 8 162 最良のエッチング速度が8より上のpHで見られ、マロン酸が5%、1%のMA溶液 (265Å/分)と較べて正の効果(10%のAPS、0%のMA、エッチング速度162Å/分 )を有することに注目のこと。 CMP プロセスに使用し得る酸化剤に添加し得るその他の添加剤がある。これら の添加剤として、シュウ酸、乳酸、グルコン酸、マロンアミド、及びクエン酸が 挙げられる。これらの有機酸は平面化溶液のpHより低いpKa を有するべきである 。これらの酸をそれらの相当する陰イオン形態で有することが望ましく、これら が最も有効なキレート化種であるべきである。 マロン酸(HO2CCH2C02H)の他に、APS がその他の有機酸:コハク酸(HO2CCH2CH2 CO2H)、酒石酸(HO2CCH(OH)CH(OH)CO2H)、クエン酸(HO2CCH2C(OH)(CO2H)CH2CO2H) 、及びシュウ酸(HO2CCO2H)と組み合わされた場合にW CMPに有効に使用し得る。 酸化溶液のpHを調節するのに使用し得る塩基として、中でも、水酸化ナトリウ ム、水酸化カリウム、水酸化マグネシウム、炭酸マグネシウム及びイミダゾール が挙げられる。 含まれるその他の潜在的な酸化剤化合物がある。 ペルオキシモノ硫酸(カロ酸)(H2S05)またはその塩が非常に強い酸化剤である( EO=-1.44V)。その酸形態は硫酸と同様の解離定数で一つのプロトンを有し、一 方、第二プロトンはわずかに9.4 のpKa を有する。 実施例3 市販品カロート(Caroat)(カロ酸のカリウム塩を含むカリウムペルオキソモノ 硫酸化合物;実験式2KHSO6KHSO4K2SO4)は低pHで水系中で良好な酸化剤であるが 、APS と組み合わされると、それは高pH値でW CMPに有望な結果を示す。カロー トはデグッサ・コーポレーションの登録製品である。下記の除去速度は、5%の アルミナスラリー(50部の10%のアルミナ+90%の水スラリー)、100mL/分の 化学液添加速度、及び20mL/分のスラリー添加速度による、3”ウエハ(10,000Å のスパッタリングされたW)に関するロジテクPM5 研磨機(33 rpm、 12”IC1000 Åパッド、2psig)に関するものである。 APS カロート pH 除去速度 (100 当たりの部数) (100当たりの部数) (Å/分) 10 1.0 5.5 90 10 1.0 7.5 139 10 1.0 8.7 349 結論: APS とカロートの間の相互作用がW除去速度を増進し、除去速度が 5.5〜8.7 の範囲にわたって次第に増加するpHで増加する。 オキソン、ペルオキシモノ硫酸塩はpH安定性の広い範囲(2〜6及び12)でペ ルオキシモノ硫酸塩と同様の通常の電極電位を有する。この物質は約4.5 %の“ 活性”酸素を有する。 実施例4 マロンアミド(H2NCOCH2CONH2)と組み合わされたAPS は、5%のアルミナスラ リー(50部の10%のアルミナ+90%の水スラリー)、90mL/分の化学液添加速度 、及び20mL/分のスラリー添加速度で、3”ウエハ(10,000Åのスパッタリングさ れたW)に関してロジテクPM5 研磨機(33 rpm、12”IC1000パッド、2psig)を使 用して、APS + マロン酸のW除去速度に匹敵するW除去速度を示す。 APS マロンアミド pH 除去速度 (100 当たりの部数) (100当たりの部数) (Å/分) 5 0 9.0 176 10 1.0 9.0 429 10 2.5 8.9 385 10 2.0 7.9 250 10 0 7.9 198 結論: マロンアミドは水系中でAPS と組み合わされた時にAPS 単独のW除去 速度よりもW除去速度を増進する。 過酸化水素の使用が金属CMP 分野で公知であるが、それはスラリー混合物と混 合された時に不十分な長期安定性に問題がある。CMP 使用者は研磨機での使用の 直前まで過酸化物溶液をスラリーから分離することによりこの問題に対する調節 をしていた。これは、CMP 使用者がウエハ当たりのCMP コストに直接影響する所 有権のコストを増大する二重分配系を有する必要があることを意味する。 本発明の別の局面によれば、過ホウ酸ナトリウム四水和物の如き過ホウ酸塩が 過酸化水素の間接源である良好な化合物である。過ホウ酸塩は10.5%の活性酸素 含量を有する。この化合物は過酸化水素とは異なる安定性を有し、それ故、CMP 金属エッチング用途に重要な化合物であり得る。過ホウ酸塩の乾燥形態が洗剤配 合物、歯みがき粉及び入れ歯クリーナーを含む多くの漂白用途に使用される。 過ホウ酸ナトリウムの低い溶解性のために、それはまたスラリーまたはコスラ リー成分として使用し得る。これはCMP プロセスに非常に有益であり得る。何と なれば、その化学は研磨材として作用するだけでなく、酸化剤として作用するか らである。その低い溶解性だけでなく、金属/金属酸化物との直接の接触が更に 良好なエッチング調節を与え得る。 炭酸ナトリウムペルオキシヒドレート(2Na2CO3*3H2O2)の如きその他の化合物 が約14重量%の活性酸素を含む。また、この化合物は過酸化水素よりも良好な安 定性を有し、それ故、金属CMP に重要な物質であり得る。 試験: ブランケットAl金属(5000Å)ウエハによる実験は、5重量%のヒドロ キシルアミン溶液が金属の2Å/分を除去するが、5重量%の過炭酸ナトリウム が6.4Å/分を除去することを示した。研磨条件は3”ウエハに対し33 rpm及び2p si の圧力でポリテックス(Politex)フェルト布を用いるロジテクP5M 研磨機によ るものであった。スラリーを試験中に使用しなかった。 実施例5 ブランケットW金属(10,000Å)ウエハによる実験は、10重量%のヒドロキシ ルアミン溶液が金属の3.3Å/分を除去するが、5重量%の過炭酸ナトリウムが1 68Å/分を除去することを示した。また、実験は、2重量%の硝酸第二鉄溶液が 金属のわずかに34Å/分を除去することを示した。研磨条件は3”ウエハに対し3 3 rpm及び2 psi の圧力でポリテックスフェルト布を用いるロジテクP5M 研磨機 によるものであった。スラリーを試験中に使用しなかった。 本発明の更に別の局面によれば、重要である別の化合物はスラリー系への酸化 化学の更に調節された導入を可能にする尿素過酸化水素錯体であろう。 実施例6 ブランケットW金属(10,000Å)ウエハによる実験は、5重量%のアルミナス ラリーを含む15重量%の過酸化水素溶液が金属の109Å/分を除去するが、わず かに2重量%のアルミナスラリーを含む2重量%の尿素過酸化水素が83Å/分を 除去することを示した。7倍に希薄な溶液及び少ないスラリーが過酸化水素溶液 と殆ど同じ多くの金属を除去することは重要である。研磨条件は3”ウエハに対 し33 rpm及び2 psi の圧力でポリテックスフェルト布を用いるロジテクP5M 研磨 機によるものであった。 薬品のこの組み合わせは一般に環境に“やさしい”廃棄生成物(尿素及び酸素 )を生じるであろう。 本発明の更に別の局面によれば、タングステンまたは銅金属の平面化に有効な その他の市販の酸化剤は過酢酸である。分解生成物は酸素及び酢酸(ビネガー) のみを含む。 試験: ブランケットW金属(10,000Å)ウエハによる実験は、5重量%のアル ミナスラリーを含む15重量%の過酸化水素溶液が金属の109Å/分を除去するが 、わずかに2重量%のアルミナスラリーを含む3.5 重量%の過酢酸が166Å/分 を除去することを示した。4倍に希薄な溶液及び少ないスラリーが過酸化水素溶 液よりも50%多い金属を除去することは重要である。研磨条件は3”ウエハに対 し33rpm及び2 psi の圧力でポリテックスフェルト布を用いるロジテクP5M 研磨 機によるものであった。 本発明の更に別の局面によれば、別の特異な考えは2種の異なる化学物質をブ レンドして相乗相互作用を得ることである。ブレンドし得る二つの可能な薬品は 過酸化水素及びヒドロキシルアミンである。 実施例7 ブランケットW金属(10,000Å)ウエハによる実験は、5重量%のアルミナス ラリーを含む15重量%の過酸化水素溶液が金属の109Å/分を除去するが、わず かに5重量%のアルミナスラリーを含む10%のヒドロキシルアミンと混合された 10重量%のH2O2が731 Å/分を除去することを示した。pHを8.7 に調節した。研 磨条件は3”ウエハに対し33 rpm及び2 psi の圧力でポリテックスフェルト布を 用いるロジテクP5M 研磨機によるものであった。 実施例8 ブランケットW金属(10,000Å)ウエハによる実験は、10重量%のヒドロキシ ルアミン溶液が金属の3.3 Å/分を除去するが、5重量%のH2O2及び5重量%の ヒドロキシルアミン(pH7.5)が380 Å/分を除去することを示した。また、実験 は、2重量%の硝酸第二鉄溶液が金属のわずかに34 Å/分を除去することを示 した。研磨条件は3”ウエハに対し33 rpm及び2 psi の圧力でポリテックスフェ ルト布を用いるロジテクP5M 研磨機によるものであった。スラリーを試験中に使 用しなかった。 本発明の別の局面は2種の異なる化学物質をブレンドして相乗相互作用を得る ことである。ブレンドし得る二つの可能な薬品は過硫酸アンモニウム及び過ヨウ 素酸カリウムである。過ヨウ素酸カリウムはヨウ素酸カリウムと較べて高い酸化 レベルを有する。 実施例9 ブランケットW金属(10,000Å)ウエハによる実験は、5重量%のアルミナス ラリーを含む10重量%の過硫酸アンモニウム溶液が金属の162 Å/分を除去する が(pH8)、わずかに5重量%のアルミナスラリーを含む2%のKIO4と混合され た10重量%の過硫酸アンモニウムが637 Å/分を除去することを示した。pHを6. 9に調節した。 2重量%のヨウ素酸カリウム(KIO3)を過硫酸アンモニウム溶液に代えて使用 した場合、その研磨速度が246 Å/分に低下した。研磨条件は3”ウエハに対し3 3rpm及び2 psi の圧力でポリテックスフェルト布を用いるロジテクP5M 研磨機に よるものであった。 本発明の別の局面において、先の局面と同様の化学はタングステンを研磨する ために過硫酸アンモニウム(APS)と過ヨウ素酸(過ヨウ素酸カリウムではない) の間の相互作用を使用する。 実施例10 Wの除去速度は、1%または2.5 %のアルミナ(10または25部の10%のアルミ ナ+90%の水スラリー)、pHを調節するための0-3 部のNH4OH、 50-100mL/分の 化学液/スラリー添加速度で一緒に組み合わされた化学液及びスラリー、並びに ロジテクP5M 研磨機(33 rpm、12”IC1000パッド、2 psi)を使用して、スパッタ リングされたW(10,000Å)で被覆された3”ウエハについてAPS を含まない水 中の過ヨウ素酸(H5IO6)のpHにつれて一般に増加する。 アルミナ 過ヨウ素酸 pH 除去速度 (100 当たりの部数) (100当たりの部数) (Å/分) 1.0 2.0 1.4 130 1.0 2.0 1.9 274 1.0 2.0 2.1 326 2.5 2.0 2.5 252 2.5 2.0 6.8 426 結論: タングステン除去速度は過ヨウ素酸の一定濃度で1〜7のpH範囲にわ たって高いpH値で増加する。 実施例11 APS に添加された水中の過ヨウ素酸はpH1でAPS 単独よりもWの除去速度を増 加する。ロジテクP5M 研磨機(33 rpm、12”IC1000パッド、2 psi)、3”ウエハ( 10,000ÅのスパッタリングされたW)、pHを調節するための0-3部のNH4OH、1% のアルミナ(10部の10%のアルミナ+90%の水スラリー)、及び100mL/分の化 学液/スラリー添加速度を使用して、10部のAPS とともに使用される過ヨウ素酸 の量を増加すると、W除去速度をまた増加する。 APS 過ヨウ素酸 pH 除去速度 (100 当たりの部数) (100当たりの部数) (Å/分) 0 2.0 2.4 130 10 2.0 1.1 386 10 0.5 3.5 118 10 2.0 5.2 388 10 0 6 112 結論: APS 及び過ヨウ素酸が一緒に使用される場合に、W除去速度を増進す る相乗効果がある。増加された除去速度が1〜7のpH範囲にわたって観察される 。 実施例12 pHを調節するための0-3 部のNH4OH 、 3”ウエハ(10,000Åのスパッタリング されたW)を研磨する直前に化学液に添加された2.5 %のアルミナ(25部の10% のアルミナ+90%の水スラリー)、100mL/分の化学液/スラリー添加速度及び ロジテクP5M 研磨機(33 rpm、12”IC1000パッド、2 psi)を使用して、一定の除 去速度がAPS を含まない過ヨウ素酸/NH4OH/水系中で数日にわたって観察され た。 時間(日数) 過ヨウ素酸 除去速度 (100当たりの部数) (Å/分) 0 2.0 252 3 2.0 255 結論: 過ヨウ素酸は単独で使用された時に非常に良好な研磨速度を有し、過 酸化水素と違って、数日にわたって良好な化学安定性を有する。 実施例13 水性過ヨウ素酸系に関する除去速度の比較が3”ウエハ(10,000Åのスパッタ リ ングされたW)を用いるロジテク研磨機(2 psi)と200mm のウエハ(10,000Åの スパッタリングされたW)を用いるストラスボー(Strasbaugh)6EC 研磨機(5-7p si)の間で示される。操作条件はpH6-7、2.5 %のアルミナ(25部の10%のアルミ ナ+90%の水スラリー)、APS なし、ストラスボー6EC(40-50 rpm、 SUBA IVパ ッドの上の22”多孔IC1000)について200mL/分の化学液/スラリー添加速度及 びロジテクP5M (33 rpm、12”IC1000パッド)について100 mL/分の化学液/ス ラリー添加速度であった。その比較は、大きなストラスボー研磨機を使用して測 定された除去速度が小さいロジテク研磨機を使用して得られた除去速度より6〜 8.6 倍大きいことを示唆する。 過ヨウ素酸 pH 下向きの力 テーブル速度 研磨機 除去速度 (100 当たりの部数) (psig) (rpm) (Å/分) 2.0 6.8 2 33 ロジテクP5M 426 2.0 6 5 40 ストラスボー 2535 6EC 2.0 6 5 40 ストラスボー 2727 6EC 2.0 6 5 50 ストラスボー 3174 2.0 6 7 50 ストラスボー 3666 6EC 結論: W研磨に関するこれらの結果は、ロジテク平面化装置(planarizer)対 ストラスボー6EC の如き平面化装置を使用して測定した除去速度を比較する時、 除去速度が6〜8.6 の係数だけスケールアップされる必要があることを示す。 本発明の最後の二つの局面について発展させて、本発明者らは過ヨウ素酸塩、 過ヨウ素酸カリウム(KIO4)及び過ヨウ素酸リチウム(LiH4IO6)並びに1997年4月1 7日付けのワングらの公開されたPCT 出願W0 97 13,889に使用されたヨウ素酸カ リウム(KIO3)について研磨速度の比較を行った。KIO4系はKIO3系よりもWについ て高い除去速度を有することが判明した。W除去速度は、KIO4及びAPS を相乗的 に組み合わせる時に増進され、過ヨウ素酸K及び過ヨウ素酸Liの両方が中性に近 いpHレジメでWを酸化するのに使用されてもよく、こうして非常に低いpH CMP系 と関連する腐食問題を回避し得る。過ヨウ素酸K及び過ヨウ素酸LiとAPS の混合 物中で、高い比率のLi:Kを有する系が高いW除去速度を与える。 実施例14 ロジテクP5M 研磨機(33 rpmN 12”IC1000パッド、2 psi)、3”ウエハ(10,000 ÅのスパッタリングされたW)、5%のアルミナ(50部の10%のアルミナ+90% の水スラリー)、並びに90mL/分の化学液添加速度、及び20mL/分のスラリー添 加速度による化学液及びスラリーの別々の添加を使用して、水中のKIO3へのAPS の添加はW除去速度を増加し、またAPS に添加されるKIO3の量を増加すると、5. 8〜7.8 のpH範囲(0-3部のNaOHにより調節されたpH)にわたってW除去速度を増 加する。 APS KIO3 pH 除去速度 (100 当たりの部数) (100当たりの部数) (Å/分) 0 2.0 7.0 193 10 2.0 7.2 246 10 2.0 5.8 208 10 5.0 7.2 339 10 5.0 7.8 350 結論: KIO3へのAPS の添加はW除去速度を増加し、組み合わされたAPS/KIO3 /水系のpHの上昇はW除去速度を増加し、またその組み合わされた系中のKIO3の 濃度の増加はW除去速度を増加する。 実施例15 上記と同じ研磨パラメーターで、水性過ヨウ素酸カリウム(KIO4)はまたAPS と 組み合わされた時に相乗効果を示し、更にヨウ素酸カリウム系よりもWについて 大きな除去速度を示す。NaOH(0-3部)を使用してpHを調節した。操作条件は、ロ ジテクP5H 研磨機(33 rpm、 12”IC1000パッド、2 psi)、3”ウエハ(10,000Å のスパッタリングされたW)、5%のアルミナ(50部の10%のアルミナ+90%の 水スラリー)、並びに90mL/分の化学液添加速度、及び20mL/分のスラリー添加 速度を使用することを含んでいた。 APS KIO4 pH 除去速度 (100 当たりの部数) (100当たりの部数) (Å/分) 0 0.2 7.9 142 10 0.2 7.7 405 10 2.0(過飽和溶液) 6.9 637 結論: APS とKIO4の間の相乗効果が中性付近のpHでW除去速度を増進する。 実施例16 過ヨウ素酸Liと過ヨウ素酸Kの混合物はLi:Kの高い比率について改良された除 去速度を示す。また、下記の表に注目されるpHの効果がある。pHを上昇すると、 除去速度を増加した。研磨パラメーターは、ロジテクP5M 研磨機(33 rpm、12” IC1000パッド、2 psi)、3”ウエハ(10,000ÅのスパッタリングされたW)、1 %のアルミナ(10部の10%のアルミナ+90%の水スラリー)、並びに100mL/分 の化学液/スラリー添加速度に関するものである。 APS LiH4IO6 KIO4 pH 除去速度 (100 当たりの部数) (100当たりの部数)(100当たりの部数) (Å/分) 10 0.4 0.0 7.2 382 10 0.3 0.1 7.2 215 10 0.2 0.2 6.5 175 10 0.1 0.3 6.1 170 結論: 水性APS 系への過ヨウ素酸Li及び/または過ヨウ素酸Kの添加は中性 付近のpHでW除去速度を増進する。混合過ヨウ素酸Li/過ヨウ素酸K+APS 系中 で、Li:Kの高い比率は中性付近のpHで高いW除去速度を与える。 実施例17 10部のAPS +0.4部の過ヨウ素酸Liを使用するタングステン除去速度はアルミ ナスラリーと組み合わされた時に数日の期間にわたって安定である。pHを調節し なかったが、試験の経過中にpH6.4 〜7.6 で中性付近に留まった。ロジテクP5M 研磨機(33 rpm、12”IC1000パッド、2 psi)、3”ウエハ(10,000Åのスパッタリ ングされたW)、5%のアルミナ(50部の10%のアルミナ+90%の水スラリー) 、及び100mL/分の化学液/スラリー添加速度を使用して、研磨を行った。 時間(日数) 除去速度(Å/分) 1 208 7 244 15 218 結論: アルミナスラリーと組み合わされた時でさえも、APS/LiH4IO6/水系は 2週間よりも長くにわたって高く、かつ安定な除去速度を有し、酸性硝酸第二鉄 /水アルミナ系(これは使用時点で組み合わされる必要がある)よりも良好な貯 蔵寿命を与える。 実施例18 500 mlの量の2種の比較の化学溶液を磁気攪拌棒を備えた600 mlのビーカーに 夫々入れた。第一の過硫酸アンモニウム溶液は3.1 のpHを有する合計1000部の溶 液を得るための脱イオン水中の114 部の過硫酸アンモニウムからなっていた。第 二の硝酸第二鉄(III)溶液は1.5 のpHを有する合計1000部の溶液を得るための脱 イオン水に溶解された40部の硝酸第二鉄(III)ナノ水和物からなっていた。これ らの溶液を以下のようにして室温でシリコンウエハで試験した。 300ÅのTi付着層及び3000ÅのスパッタリングされたCuを有する3インチウエ ハを使用した。選ばれた時間間隔で、ウエハサンプルを除去し、DI水ですすぎ、 次いで窒素ガスで乾燥させた。通常の4点プローブを使用して金属膜の厚さを測 定した。エッチング速度は以下のとおりであった。 過硫酸アンモニウム 3000Å/分 硝酸第二鉄(III) 1287Å/分 最低のpH(更に酸性)を有する化学液、即ち、硝酸第二鉄(III)溶液はCuを最 も速くエッチングすることが予想されたであろう。 実施例19 この一連の試験において、種々のpHレベルにおけるヒドロキシルアミン硝酸塩 の有効性を3000ÅのスパッタリングされたCu及び300ÅのTi付着層を有するウエ ハのエッチングについて試験した。装置は実施例1に使用したものであった。そ の溶液は176 重量部のDI水中に24重量部の82重量%のヒドロキシルアミン硝酸塩 を含んでいた。pHを遊離塩基としての少量のヒドロキシルアミンで調節した。ヒ ドロキシルアミン遊離塩基は20重量部の市販の約50重量%のその水溶液及び80重 量部の脱イオン水を含んでいた。また、80重量部の25重量%の水酸化アンモニウ ム水溶液及び120 重量部の脱イオン水を含む水酸化アンモニウム溶液を使用した 。 或る間隔後に、ウエハを脱イオン水ですすぎ、窒素で乾燥させた。次いでウエ ハを計量した。別のブランクTiウエハを10重量%のH2O2溶液中でエッチングして 夫々の3インチウエハについてCuの量を測定した。得られた結果を下記の表に示 す。 化学液 pH エッチング速度(Å/分) ヒドロキシルアミン硝酸塩 3 120 ヒドロキシルアミン硝酸塩 4 150 ヒドロキシルアミン硝酸塩 5 600 ヒドロキシルアミン(遊離塩基 11.7 75 水酸化アンモニウム 12.7 100 Cu金属は9より上のpHで無機アミン及び有機アミンでエッチングされることが 公知である。また、Cu金属は非常に低いpH(3未満)でエッチングされることが 知られている。上記結果は全く驚くできである。何となれば、有意なエッチング 速度がpH5で見られたからである。 本発明の更に別の局面において、良好なCMP プロセス結果を示したその他の化 学液はヒドロキシルアミン硝酸塩(HAN)及びその他のヒドロキシルアミン塩を ベースとしている。HAN による幾つかの実施例の他に、一つの実施例はHAN と組 み合わせたクエン酸の使用を調べる。その他の組み合わせはモノ有機酸、ジ有機 酸及びトリ有機酸を含み得る。このような酸の例として、酢酸、マロン酸及びク エン酸の夫々が挙げられるが、これらに限定されない。 実施例20 アミン(及びアンモニア化合物)は銅を研磨(エッチング)するのに中性溶液 または塩基性溶液中で更に有効である。幾つかのアンモニウム化合物が低pHで銅 を研磨する際に適度に成功しているにすぎない。過酸化水素化学液が低pHで通常 使用される。以下の実施例は、ヒドロキシルアミン硝酸塩(HAN、温和な酸化剤 )が銅を有効に研磨することを示す。ヒドロキシルアミン及びその塩はアミンで はないが、無機アミン及び有機アミンに見られるNH2基を含む。ヒドロキシルア ミンのNH2基は“アミン”に見られないヒドロキシル(OH 基)に結合されており、 その酸化還元電位に影響する。 銅ウエハ(10,000Å)を種々の期間にわたって攪拌された10%のヒドロキシルア ミン硝酸塩溶液(水87.8部中82%のHAN 12.2部)に浸漬することにより、これら の結果を得た。或る期間で、ウエハを除去し、DI水ですすぎ、窒素で乾燥させ、 次いで計量したところほぼ0.1mg であった。更なる重量損失がなくなるまで、サ ンプルグループからの別のウエハをアンモニウムペルオキシ二硫酸塩溶液(10部 のペルオキシ二硫酸塩及び90部の水)でエッチングした。重量比を使用して金属 損失(Å/分)を測定することが可能であった。ヒドロキシルアミン硝酸塩の結 果を同様の条件下で10%の水酸化アンモニウム溶液(水90部中の27%の水酸化ア ンモニウム10部)と較べた。 pH 除去速度(Å/分) 3.1 120 4.0 150 5.0 600 NH4OH 12.7 100 この実施例は、ヒドロキシルアミン化合物が銅金属を除去し、また明確な最適 pHがあることを示す。これが銅をアミンでエッチングするのに最適のpH領域であ るとしても、水酸化アンモニウムは最も不十分なエッチング速度を有していた。 実施例21 この実施例において、ヒドロキシルアミン硝酸塩化学液を無スラリー研磨系に 使用する。3”銅ウエハについて33rpmで2psigの圧力でポリテックスフェルトパ ッドを備えたロジテクPM5 研磨系(CMPモデル化実験に使用した)を使用した。5 %の化学液(6.1 部のHAN と95.9部の水)を50mL/分で研磨テーブルに添加した 。除去速度をウエハの金属膜の厚さを測定するのに使用したフォー・ディメンシ ョンズ4点プローブにより測定した。 pH 除去速度(Å/分) 4.2 18 6.0 218 この実施例は、HAN 溶液でpH効果があることを示す。金属膜は非常に明るい仕 上げを有していた。 実施例22 この実施例において、2.5%の酸化ケイ素スラリーと混合した10%のヒドロキ シルアミン硝酸塩溶液(水87.8部中のHAN 12.2部)をロジテクPM5 研磨系でポリ テックスパッドとともに使用し、3”銅ウエハについて33rpmで2psigの圧力であ った。その化学液を90mL/分で研磨パッドに添加した。除去速度をウエハの金属 膜の厚さを測定するためのフォー・ディメンションズ4点プローブにより測定し た。 pH 除去速度(Å/分) 2.6 1270 4.0 1014 この実施例は、酸化ケイ素スラリーの使用が非常に良好な銅除去速度で有効な 研磨速度を非常に低いpHにシフトすることを示す。また、この実施例は、HAN 化 学液がロジテクモデル化装置でスラリーと良く作用することを示す。金属膜は非 常に明るい仕上げを有していた。 実施例23 この実施例において、市販のアルミナスラリーを種々の化学液とともに使用す る。3”銅ウエハについて33rpm で2psigの圧力でロジテクPM5 研磨機でポリテ ックスパッドとともに使用して、スラリー濃度は2.5 %であった。過酸化水素溶 液は水85部と混合された30%のH2O2溶液15部を含んでいた。 pH 除去速度(Å/分) 5%HAN 5 950+ 5%HAN 5 950+ 5%HAN 6 575+ 15 %H2O2 4 65 H2O 4.8 44 この実施例は、HAN に関する研磨速度が再現性であり、かつ銅CMP について従 来の過酸化水素化学液よりも良好な研磨であることを示す。水実験は、銅研磨速 度が単なるpH効果ではないことを示す。HAN で研磨された金属膜は非常に明るい 仕上げを有していたが、過酸化水素で研磨されたウエハは“曇っており”、水で 研磨されたウエハはくすんでいた。 実施例24 別の重要な特徴は、スラリー及び化学液が一緒に混合された後の良好な貯蔵寿 命である。現在、過酸化水素/スラリー系は、その工業が現在使用の時点でのみ スラリーと化学液を混合する程に不安定である。予備混合された過酸化水素/ス ラリー溶液はわずかに数時間の有益な寿命を有するにすぎない。 この実施例において、0.5 重量%のヒドロキシルアミン硝酸塩溶液(水99.4部 中HAN 0.6部)を2.5 %のアルミナスラリーと混合した。マスターバッチをつく り、プラスチック容器中で貯蔵した。次いでその化学液/スラリーのサンプルを 或る日数後に除去し、研磨実験に使用した。スラリーのpHは22日の試験中にわず かに4〜4.1 の間で変化した。スラリー混合物を3”銅ウエハについて33rpm で 2psigの圧力でロジテクPM5 研磨機でポリテックスパッドとともに使用した。そ の化学液を50mL/分で研磨パッドに添加した。除去速度をウエハの金属膜の厚さ を測定するためのフォー・ディメンションズ4点プローブにより測定した。 日数 除去速度(Å/分) 0 637 4 1064 22 558 約40%だけ増加した4日目の結果を除いて、22日目の結果は、化学液が依然と して良好な研磨速度を示していることを明らかに示す。金属膜は非常に明るい仕 上げを有していた。 実施例25 別の特徴はウエハについて異なる材料の間の研磨速度の選択性である。全ての 材料(金属及びその周囲のIDL 層)は同じ速度で研磨されないことが重要である 。さもないと、特定の層で停止することが困難であろう。 以下の実施例は銅金属とBPSG膜の間の選択性を示す。この実施例において、0. 5 重量%のヒドロキシルアミン硝酸塩溶液(水99.4部中HAN 0.6部)を2.5 %の アルミナスラリーと混合した。スラリーのpHは4〜4.4 の間で変化した。そのス ラリー混合物を3”銅ウエハについて33rpm で2psigの圧力でロジテクPM5 研磨 機でポリテックスパッドとともに使用した。その化学液をウエハの金属膜の厚さ を測定するための4点プローブに添加し、BPSG膜厚をエリプソメーターにより測 定した。 銅膜除去速度は637 Å/分であり、一方、BPSG膜はわずかに37Å/分で研磨さ れた。Cu対BPSGの選択性は17.2であった。これは、BPSG層に達する時に、研磨プ ロセスが“停止”することを意味する。何となれば、それは極めて遅い研磨速度 を有するからである。 本発明の更なる局面において、銅を研磨する別法はキレート剤(多官能有機酸 )と複合ヒドロキシルアミン塩の組み合わせを使用することである。 実施例26 この実施例において、クエン酸の溶液(ヒドロキシルアミンでpH4.2 〜4.4 に 調節されたクエン酸8.8 部、残部が水である)を種々の濃度のヒドロキシルアミ ン(HDA)と混合して中性に近いpHを有する溶液を得る。これらの化学液をスラリ ー磨系に使用した。ロジテクPM5 磨系を3”銅ウエハについて33rpm 2psigの圧 力でポリテックスフェルトパッドとともに使用した。これらの化学液を20〜90mL /分で研磨テーブルに添加した。除去速度をウエハの金属膜の厚さを測定するた めのフォー・ディメンションズ4点プローブにより測定した。 クエン酸溶液の部数 HDAの部数 pH 除去速度(Å/分) 100 0 4.2 58 95 5 6.6 64 90 10 6.8 954 80 20 7.0 1100 この実施例は、pHがわずかに0.4 pH範囲(HDA 塩溶液について)にわたって変 化されるとしても、クエン酸のヒドロキシルアミン塩の増加に関して、銅エッチ ング速度に有意な増加があったことを示す。 本発明が充分に説明されたが、多くの変化及び改良が本発明の精神または範囲 から逸脱しないでなし得ることが当業者に明らかであろう。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FI,FR,GB,GR,IE,IT,L U,MC,NL,PT,SE),OA(BF,BJ,CF ,CG,CI,CM,GA,GN,ML,MR,NE, SN,TD,TG),AP(GH,KE,LS,MW,S D,SZ,UG,ZW),UA(AM,AZ,BY,KG ,KZ,MD,RU,TJ,TM),AL,AM,AT ,AU,AZ,BA,BB,BG,BR,BY,CA, CH,CN,CU,CZ,DE,DK,EE,ES,F I,GB,GE,GH,HU,IL,IS,JP,KE ,KG,KP,KR,KZ,LC,LK,LR,LS, LT,LU,LV,MD,MG,MK,MN,MW,M X,NO,NZ,PL,PT,RO,RU,SD,SE ,SG,SI,SK,SL,TJ,TM,TR,TT, UA,UG,US,UZ,VN,YU,ZW (72)発明者 マックギー ローレンス イギリス ジー81 6エイチエス クライ ドバンク ダントーチャー クレイギーリ ー ロード 37 (72)発明者 マロニー ディヴィッド ジョン アメリカ合衆国 カリフォルニア州 94550 リヴァーモア ダナ サークル 818 (72)発明者 ピーターソン マリア ルイーズ アメリカ合衆国 カリフォルニア州 94025 メンロパーク クラウド アベニ ュー 1212

Claims (1)

  1. 【特許請求の範囲】 1. スラリー、金属と誘電体の差別的除去を生じるのに充分な量の選択的酸化還 元化合物、及び組成物のpHを調節して、選択的酸化還元化合物に金属と誘電体の 差別的除去を与えるようにするpHを与えるpH調節化合物を含むことを特徴とする 化学機械研磨用組成物。 2. 選択的酸化還元化合物がヒドロキシルアミンまたはヒドロキシルアミン塩を 含む請求の範囲第1項に記載の組成物。 3. アンモニウムペルオキシ化合物を更に含む請求の範囲第2項に記載の組成物 。 4. アンモニウムペルオキシ化合物がペルオキシニ硫酸アンモニウムである請求 の範囲第3項に記載の組成物。 5. 化学機械研磨用組成物において、化学機械研磨に有効な量のヒドロキシルア ミン化合物を含むことを特徴とする組成物。 6. ヒドロキシルアミン化合物がヒドロキシルアミンまたはヒドロキシルアミン 塩を含む請求の範囲第5項に記載の化学機械研磨用組成物。 7. ヒドロキシルアミン塩がヒドロキシルアミン硝酸塩を含む請求の範囲第6項 に記載の化学機械研磨用組成物。 8. キレート剤を更に含む請求の範囲第5項に記載の化学機械研磨用組成物。 9. キレート剤がアルキルβ−ジケトン、アルキレン四酢酸、芳香族フェノール アルデヒド、ビス(ヒドロキシプロピル)ヒドロキシルアミン、アニスアルデヒ ド、αヒドロキシイソ酪酸、芳香族二酸素化化合物、カテコール化合物または没 食子酸化合物である請求の範囲第8項に記載の化学機械研磨用組成物。 10.ノニオン系表面活性剤、カチオン系表面活性剤またはアニオン系表面活性剤 を更に含む請求の範囲第5項に記載の化学機械研磨用組成物。 11.表面活性剤がオクチルフェニルポリエチレンである請求の範囲第10項に記載 の化学機械研磨用組成物。 12.二フッ化アンモニウムを更に含む請求の範囲第5項に記載の化学機械研磨用 組成物。 13.高分子電解質を更に含む請求の範囲第5項に記載の化学機械研磨用組成物。 14.過酸化水素を更に含む請求の範囲第5項に記載の化学機械研磨用組成物。 15.化学機械研磨用組成物において、化学機械研磨に有効な量の過硫酸アンモニ ウムを含むことを特徴とする化学機械研磨用組成物。 16.有機酸を更に含む請求の範囲第15項に記載の化学機械研磨用組成物。 17.有機酸がマロン酸、コハク酸、酒石酸、クエン酸またはシュウ酸である請求 の範囲第15項に記載の化学機械研磨用組成物。 18.酸化化合物を更に含む請求の範囲第15項に記載の化学機械研磨用組成物。 19.酸化化合物がペルオキシモノ硫酸、ぺルオキソモノ硫酸カリウム、ペルオキ シモノ硫酸塩またはマロンアミドを含む請求の範囲第18項に記載の化学機械研磨 用組成物。 20.過ヨウ素酸カリウム、過ヨウ素酸リチウム、ヨウ素酸カリウムまたは過ヨウ 素酸を更に含む請求の範囲第15項に記載の化学機械研磨用組成物。 21.化学機械研磨用組成物において、化学機械研磨に有効な量の過酸化水素の間 接源である化合物を含むことを特徴とする化学機械研磨用組成物。 22.過酸化水素の間接源が過ホウ酸塩、ペルオキシヒドレート、尿素過酸化水素 錯体である請求の範囲第21項に記載の化学機械研磨用組成物。 23.化学機械研磨用組成物において、化学機械研磨に有効な量の過酢酸を含むこ とを特徴とする化学機械研磨用組成物。 24.化学機械研磨用組成物において、化学機械研磨に有効な量の過ヨウ素酸を含 むことを特徴とする化学機械研磨用組成物。 25.スラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じ 、選択的酸化還元化合物を適用して金属及び誘電体の差別的除去を生じ、そして スラリー及び選択的酸化還元化合物のpHを調節して金属及び誘電体の差別的除去 を得ることを特徴とする化学機械研磨方法。 26.スラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じ 、そして化学機械研磨に有効な量のヒドロキシルアミン化合物を適用することを 特徴とする化学機械研磨方法。 27.スラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じ 、そして化学機械研磨に有効な量の過硫酸アンモニウムを適用することを特徴と する化学機械研磨方法。 28.スラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じ 、そして化学機械研磨に有効な量の過酸化水素の間接源である化合物を適用する ことを特徴とする化学機械研磨方法。 29.スラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じ 、そして化学機械研磨に有効な量の過酢酸を適用することを特徴とする化学機械 研磨方法。 30.スラリーを金属及び誘電体表面に適用して金属及び誘電体の機械除去を生じ 、そして化学機械研磨に有効な量の過ヨウ素酸を適用することを特徴とする化学 機械研磨方法。
JP50885298A 1996-07-25 1997-07-21 化学機械研磨組成物及び化学機械研磨方法 Expired - Fee Related JP4202424B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US2329996P 1996-07-25 1996-07-25
US60/023,299 1996-07-26
PCT/US1997/012220 WO1998004646A1 (en) 1996-07-25 1997-07-21 Chemical mechanical polishing composition and process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008154196A Division JP2008277848A (ja) 1996-07-26 2008-06-12 化学機械研磨組成物及び化学機械研磨方法

Publications (3)

Publication Number Publication Date
JP2000501771A true JP2000501771A (ja) 2000-02-15
JP2000501771A5 JP2000501771A5 (ja) 2004-08-19
JP4202424B2 JP4202424B2 (ja) 2008-12-24

Family

ID=21814282

Family Applications (1)

Application Number Title Priority Date Filing Date
JP50885298A Expired - Fee Related JP4202424B2 (ja) 1996-07-25 1997-07-21 化学機械研磨組成物及び化学機械研磨方法

Country Status (9)

Country Link
US (6) US6117783A (ja)
EP (1) EP0852615B1 (ja)
JP (1) JP4202424B2 (ja)
KR (1) KR100302671B1 (ja)
AT (1) ATE312895T1 (ja)
AU (1) AU3661997A (ja)
DE (1) DE69734868T2 (ja)
TW (1) TW353783B (ja)
WO (1) WO1998004646A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6478834B2 (en) 2000-11-24 2002-11-12 Nec Corp. Slurry for chemical mechanical polishing
US6896825B1 (en) 1998-08-31 2005-05-24 Hitachi Chemical Company, Ltd Abrasive liquid for metal and method for polishing
US7189684B2 (en) 2002-03-04 2007-03-13 Fujimi Incorporated Polishing composition and method for forming wiring structure using the same
JP2009006469A (ja) * 2004-07-28 2009-01-15 Cabot Microelectronics Corp 貴金属のための磨き組成物
JP2012004588A (ja) * 1998-03-18 2012-01-05 Cabot Microelectronics Corp 銅基材に有益な化学機械的研磨スラリー
WO2012133561A1 (ja) 2011-03-30 2012-10-04 株式会社 フジミインコーポレーテッド 研磨用組成物および研磨方法

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
JP2008277848A (ja) * 1996-07-26 2008-11-13 Ekc Technol Inc 化学機械研磨組成物及び化学機械研磨方法
US6602439B1 (en) 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
US20040229468A1 (en) * 1997-10-31 2004-11-18 Seiichi Kondo Polishing method
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
KR100610387B1 (ko) * 1998-05-18 2006-08-09 말린크로트 베이커, 인코포레이티드 초소형 전자 기판 세정용 실리케이트 함유 알칼리성 조성물
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6468909B1 (en) * 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
JP4113288B2 (ja) * 1998-09-04 2008-07-09 スピードファム株式会社 研磨用組成物およびそれを用いたシリコンウェーハの加工方法
JP2000080350A (ja) 1998-09-07 2000-03-21 Speedfam-Ipec Co Ltd 研磨用組成物及びそれによるポリッシング加工方法
US6635562B2 (en) * 1998-09-15 2003-10-21 Micron Technology, Inc. Methods and solutions for cleaning polished aluminum-containing layers
US6572449B2 (en) * 1998-10-06 2003-06-03 Rodel Holdings, Inc. Dewatered CMP polishing compositions and methods for using same
JP4240424B2 (ja) * 1998-10-23 2009-03-18 エルジー ディスプレイ カンパニー リミテッド エッチング剤及びこれを用いた電子機器用基板の製造方法
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
SG73683A1 (en) * 1998-11-24 2000-06-20 Texas Instruments Inc Stabilized slurry compositions
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6235636B1 (en) * 1999-04-20 2001-05-22 Advanced Micro Devices, Inc. Resist removal by polishing
US6251150B1 (en) 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
DE19927286B4 (de) * 1999-06-15 2011-07-28 Qimonda AG, 81739 Verwendung einer Schleiflösung zum chemisch-mechanischen Polieren einer Edelmetall-Oberfläche
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
AT409429B (de) * 1999-07-15 2002-08-26 Sez Semiconduct Equip Zubehoer Verfahren zum ätzbehandeln von halbleitersubstraten zwecks freilegen einer metallschicht
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
JP4264781B2 (ja) * 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
JP3307375B2 (ja) * 1999-10-04 2002-07-24 日本電気株式会社 半導体装置の製造方法
US6436830B1 (en) 1999-10-06 2002-08-20 Agere Systems Guardian Corp. CMP system for polishing semiconductor wafers and related method
US6458289B1 (en) * 1999-10-06 2002-10-01 Agere Systems Guardian Corp. CMP slurry for polishing semiconductor wafers and related methods
US6347978B1 (en) * 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6380069B1 (en) * 2000-01-14 2002-04-30 United Microelectronics Corp. Method of removing micro-scratch on metal layer
JP3563342B2 (ja) * 2000-11-02 2004-09-08 Necエレクトロニクス株式会社 Cmp方法および装置、回路形成方法およびシステム、集積回路装置
US6332831B1 (en) * 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US6328774B1 (en) * 2000-02-23 2001-12-11 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
JP2001261325A (ja) * 2000-03-24 2001-09-26 Gc Corp 無機フィラーの洗浄方法及び処理方法
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6858540B2 (en) * 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
TW471057B (en) * 2000-06-09 2002-01-01 Macronix Int Co Ltd Method for reducing dishing effect during chemical mechanical polishing
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6602117B1 (en) * 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
WO2002023613A2 (en) * 2000-09-15 2002-03-21 Rodel Holdings, Inc. Metal cmp process with reduced dishing
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6413869B1 (en) * 2000-11-06 2002-07-02 Advanced Micro Devices, Inc. Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6896776B2 (en) 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7232514B2 (en) 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US20070290166A1 (en) * 2001-03-14 2007-12-20 Liu Feng Q Method and composition for polishing a substrate
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US6436778B1 (en) * 2001-06-12 2002-08-20 Advanced Micro Devices, Inc. Re-oxidation approach to improve peripheral gate oxide integrity in a tunnel nitride oxidation process
US6589099B2 (en) 2001-07-09 2003-07-08 Motorola, Inc. Method for chemical mechanical polishing (CMP) with altering the concentration of oxidizing agent in slurry
US7008554B2 (en) 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6821881B2 (en) 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
JP4954398B2 (ja) 2001-08-09 2012-06-13 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6511906B1 (en) * 2001-08-30 2003-01-28 Micron Technology, Inc. Selective CMP scheme
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
US6866792B2 (en) 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
KR100442962B1 (ko) * 2001-12-26 2004-08-04 주식회사 하이닉스반도체 반도체소자의 금속배선 콘택플러그 형성방법
US6514865B1 (en) * 2002-01-11 2003-02-04 Advanced Micro Devices, Inc. Method of reducing interlayer dielectric thickness variation feeding into a planarization process
JP2003218084A (ja) * 2002-01-24 2003-07-31 Nec Electronics Corp 除去液、半導体基板の洗浄方法および半導体装置の製造方法
US7132058B2 (en) 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6548409B1 (en) * 2002-02-19 2003-04-15 Silicon Integrated Systems Corp. Method of reducing micro-scratches during tungsten CMP
JP2005518670A (ja) * 2002-02-26 2005-06-23 アプライド マテリアルズ インコーポレイテッド 基板を研磨するための方法及び組成物
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6641630B1 (en) 2002-06-06 2003-11-04 Cabot Microelectronics Corp. CMP compositions containing iodine and an iodine vapor-trapping agent
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6825120B1 (en) 2002-06-21 2004-11-30 Taiwan Semiconductor Manufacturing Company Metal surface and film protection method to prolong Q-time after metal deposition
US20030235989A1 (en) * 2002-06-25 2003-12-25 Seagate Technology Llc Process for CMP assisted liftoff
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
KR100546133B1 (ko) * 2002-07-19 2006-01-24 주식회사 하이닉스반도체 반도체소자의 형성방법
JP4010903B2 (ja) * 2002-08-02 2007-11-21 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US6905974B2 (en) 2002-08-08 2005-06-14 Micron Technology, Inc. Methods using a peroxide-generating compound to remove group VIII metal-containing residue
US7077975B2 (en) 2002-08-08 2006-07-18 Micron Technology, Inc. Methods and compositions for removing group VIII metal-containing materials from surfaces
JP4083528B2 (ja) * 2002-10-01 2008-04-30 株式会社フジミインコーポレーテッド 研磨用組成物
US7037174B2 (en) * 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
DE10246756B4 (de) * 2002-10-07 2006-03-16 Novar Gmbh Branderkennungsverfahren und Brandmelder zu dessen Durchführung
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US6803353B2 (en) * 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents
US6911393B2 (en) * 2002-12-02 2005-06-28 Arkema Inc. Composition and method for copper chemical mechanical planarization
US7300601B2 (en) * 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
EP1596857B1 (en) * 2003-02-27 2008-10-29 Wisconsin Alumni Research Foundation Pmcol for the treatment of prostate cancer
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US6818142B2 (en) * 2003-03-31 2004-11-16 E. I. Du Pont De Nemours And Company Potassium hydrogen peroxymonosulfate solutions
US7964005B2 (en) * 2003-04-10 2011-06-21 Technion Research & Development Foundation Ltd. Copper CMP slurry composition
US20040232379A1 (en) * 2003-05-20 2004-11-25 Ameen Joseph G. Multi-oxidizer-based slurry for nickel hard disk planarization
US7390429B2 (en) 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20040259366A1 (en) * 2003-06-20 2004-12-23 Kim Seong Han Method and composition for the chemical-vibrational-mechanical planarization of copper
US7037350B2 (en) * 2003-07-14 2006-05-02 Da Nanomaterials L.L.C. Composition for chemical-mechanical polishing and method of using same
US6830504B1 (en) 2003-07-25 2004-12-14 Taiwan Semiconductor Manufacturing Company Barrier-slurry-free copper CMP process
US20050045852A1 (en) * 2003-08-29 2005-03-03 Ameen Joseph G. Particle-free polishing fluid for nickel-based coating planarization
US7056648B2 (en) * 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
US6936540B2 (en) * 2003-09-18 2005-08-30 Micron Technology, Inc. Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7288021B2 (en) * 2004-01-07 2007-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing of metals in an oxidized form
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
JP2005244123A (ja) * 2004-02-27 2005-09-08 Fujimi Inc 研磨用組成物
US7287314B2 (en) * 2004-02-27 2007-10-30 Hitachi Global Storage Technologies Netherlands B.V. One step copper damascene CMP process and slurry
KR100582771B1 (ko) * 2004-03-29 2006-05-22 한화석유화학 주식회사 반도체 얕은 트렌치 소자 분리 공정용 화학적 기계적 연마슬러리
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7199045B2 (en) * 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US20050263407A1 (en) * 2004-05-28 2005-12-01 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
US7582127B2 (en) * 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7247567B2 (en) * 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US20060071196A1 (en) * 2004-09-27 2006-04-06 Mckee Clayton R Method of wood treatment and solution provided therefore
US7524347B2 (en) * 2004-10-28 2009-04-28 Cabot Microelectronics Corporation CMP composition comprising surfactant
JP4808394B2 (ja) * 2004-10-29 2011-11-02 株式会社フジミインコーポレーテッド 研磨用組成物
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US20060191871A1 (en) * 2005-02-25 2006-08-31 Sheng-Yu Chen Cmp slurry delivery system and method of mixing slurry thereof
US7081041B1 (en) 2005-02-28 2006-07-25 Hitachi Global Storage Technologies Netherlands B.V. Manufacturing method for forming a write head top pole using chemical mechanical polishing with a DLC stop layer
US20060223320A1 (en) * 2005-03-30 2006-10-05 Cooper Kevin E Polishing technique to minimize abrasive removal of material and composition therefor
KR20070012209A (ko) * 2005-07-21 2007-01-25 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물 및 연마 방법
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
US20070068087A1 (en) * 2005-09-26 2007-03-29 Cabot Microelectronics Corporation Metal cations for initiating polishing
US7879782B2 (en) * 2005-10-13 2011-02-01 Air Products And Chemicals, Inc. Aqueous cleaning composition and method for using same
EP1943320B1 (en) * 2005-10-25 2009-04-15 Freescale Semiconductor, Inc. Method for testing a slurry used to form a semiconductor device
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
US7362116B1 (en) * 2005-11-09 2008-04-22 Electroglas, Inc. Method for probing impact sensitive and thin layered substrate
US20070151866A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Substrate polishing with surface pretreatment
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
US20070218677A1 (en) * 2006-03-15 2007-09-20 Manfred Engelhardt Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines
US7820067B2 (en) * 2006-03-23 2010-10-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US8591763B2 (en) * 2006-03-23 2013-11-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
CN101584028A (zh) * 2006-04-26 2009-11-18 Nxp股份有限公司 制造半导体器件的方法、由此获得的半导体器件和适合该方法中使用的浆料
WO2007146680A1 (en) * 2006-06-06 2007-12-21 Florida State University Research Foundation , Inc. Stabilized silica colloid
WO2008004579A1 (fr) * 2006-07-05 2008-01-10 Hitachi Chemical Co., Ltd. Liquide de polissage pour cmp et procédé de polissage
JP2008135452A (ja) * 2006-11-27 2008-06-12 Fujimi Inc 研磨用組成物及び研磨方法
JP2008135453A (ja) * 2006-11-27 2008-06-12 Fujimi Inc 研磨用組成物及び研磨方法
KR100850085B1 (ko) * 2006-12-28 2008-08-04 동부일렉트로닉스 주식회사 Cmp 공정용 슬러리의 희석방법
US7842614B2 (en) * 2007-01-04 2010-11-30 Fujitsu Limited Method for manufacturing semiconductor device and polisher used in the method for manufacturing semiconductor device
US20080173904A1 (en) * 2007-01-22 2008-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS image sensors with a bonding pad and methods of forming the same
TW200916564A (en) * 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US20090056231A1 (en) * 2007-08-28 2009-03-05 Daniela White Copper CMP composition containing ionic polyelectrolyte and method
JP2009088486A (ja) * 2007-08-29 2009-04-23 Applied Materials Inc 高スループット低形状銅cmp処理
US7915071B2 (en) * 2007-08-30 2011-03-29 Dupont Air Products Nanomaterials, Llc Method for chemical mechanical planarization of chalcogenide materials
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
US8143166B2 (en) * 2008-03-11 2012-03-27 Globalfoundries Singapore Pte. Ltd. Polishing method with inert gas injection
US20100081279A1 (en) * 2008-09-30 2010-04-01 Dupont Air Products Nanomaterials Llc Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
US8506831B2 (en) 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
TWI480360B (zh) * 2009-04-03 2015-04-11 Du Pont 蝕刻劑組成物及方法
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US8916473B2 (en) 2009-12-14 2014-12-23 Air Products And Chemicals, Inc. Method for forming through-base wafer vias for fabrication of stacked devices
JP5141792B2 (ja) * 2010-06-29 2013-02-13 日立化成工業株式会社 Cmp研磨液及び研磨方法
US8546016B2 (en) * 2011-01-07 2013-10-01 Micron Technology, Inc. Solutions for cleaning semiconductor structures and related methods
JP6035346B2 (ja) * 2011-12-21 2016-11-30 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 半導体装置の製造方法及びcmp組成物の使用方法
US20130241075A1 (en) * 2012-03-13 2013-09-19 Macronix International Co., Ltd. Contact or via critical dimension control with novel closed loop control system in chemical mechanical planarization process
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9196283B1 (en) 2013-03-13 2015-11-24 Western Digital (Fremont), Llc Method for providing a magnetic recording transducer using a chemical buffer
KR101409889B1 (ko) * 2013-12-27 2014-06-19 유비머트리얼즈주식회사 연마 슬러리 및 이를 이용한 기판 연마 방법
WO2015200679A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Tungsten chemical-mechanical polishing composition
WO2015200684A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Copper barrier chemical-mechanical polishing composition
WO2015200660A1 (en) * 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Colloidal silica chemical-mechanical polishing composition
JP6788988B2 (ja) * 2016-03-31 2020-11-25 株式会社フジミインコーポレーテッド 研磨用組成物
KR102316563B1 (ko) * 2017-05-22 2021-10-25 엘지디스플레이 주식회사 금속으로 형성된 상부 기판을 포함하는 유기 발광 표시 장치 및 이의 제조 방법
US11286403B2 (en) 2018-07-20 2022-03-29 Dongjin Semichem Co., Ltd Chemical mechanical polishing composition, chemical mechanical polishing slurry and method for polishing substrate

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1190293B (de) * 1958-04-28 1965-04-01 Hans Becker Putz-, Reinigungs- und Poliermittel sowie Reinigungsbaeder fuer Edelmetalloberflaechen
NL268606A (ja) * 1960-09-12
US3420802A (en) * 1965-04-21 1969-01-07 Monsanto Co Novel polyesteramides and the preparation thereof
US3385682A (en) * 1965-04-29 1968-05-28 Sprague Electric Co Method and reagent for surface polishing
US3410802A (en) * 1966-02-21 1968-11-12 Fmc Corp Process and composition for etching of copper metal
DE1621510A1 (de) * 1967-03-23 1971-04-29 Siemens Ag Loesungsmittelgemisch mit Salpetersaeure und Flusssaeure zum nasschemischen AEtzen von Silizium
US3668131A (en) * 1968-08-09 1972-06-06 Allied Chem Dissolution of metal with acidified hydrogen peroxide solutions
JPS5281692A (en) * 1975-12-28 1977-07-08 Fujimi Kenmazai Kougiyou Kk Method of grinding general metallic materials and composites for grinding
US4002487A (en) * 1976-05-13 1977-01-11 Imc Chemical Group Process for bleaching kaolin
UST105402I4 (en) * 1983-03-10 1985-05-07 Method for polishing amorphous aluminum oxide
US4556449A (en) * 1984-10-15 1985-12-03 Psi Star Nickel etching process and solution
FR2582675B1 (fr) * 1985-06-03 1992-10-02 Solvay Bains et procedes pour le polissage chimique de surfaces en acier inoxydable
US4724042A (en) * 1986-11-24 1988-02-09 Sherman Peter G Dry granular composition for, and method of, polishing ferrous components
JP2868885B2 (ja) * 1989-11-09 1999-03-10 新日本製鐵株式会社 シリコンウェハの研磨液及び研磨方法
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
CZ278402B6 (en) * 1991-10-14 1993-12-15 Vysoka Skola Chem Tech Process of treating picking and polishing baths based on nitric acid
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
JP3048207B2 (ja) * 1992-07-09 2000-06-05 イー.ケー.シー.テクノロジー.インコーポレイテッド 還元及び酸化電位を有する求核アミン化合物を含む洗浄剤組成物およびこれを使用した基板の洗浄方法
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5575837A (en) * 1993-04-28 1996-11-19 Fujimi Incorporated Polishing composition
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5653623A (en) * 1993-12-14 1997-08-05 Ebara Corporation Polishing apparatus with improved exhaust
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
DE19525521B4 (de) * 1994-07-15 2007-04-26 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Verfahren zum Reinigen von Substraten
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5726099A (en) * 1995-11-07 1998-03-10 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium persulfate slurry
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5773364A (en) 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
JPH10290007A (ja) * 1997-04-14 1998-10-27 Sharp Corp 半導体装置およびその製造方法
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6347978B1 (en) * 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6293848B1 (en) * 1999-11-15 2001-09-25 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012004588A (ja) * 1998-03-18 2012-01-05 Cabot Microelectronics Corp 銅基材に有益な化学機械的研磨スラリー
US6896825B1 (en) 1998-08-31 2005-05-24 Hitachi Chemical Company, Ltd Abrasive liquid for metal and method for polishing
US6899821B2 (en) 1998-08-31 2005-05-31 Hitachi Chemical Company, Ltd. Abrasive liquid for metal and method for polishing
US8038898B2 (en) 1998-08-31 2011-10-18 Hitachi Chemical Company, Ltd. Abrasive liquid for metal and method for polishing
US8491807B2 (en) 1998-08-31 2013-07-23 Hitachi Chemical Company, Ltd. Abrasive liquid for metal and method for polishing
US6478834B2 (en) 2000-11-24 2002-11-12 Nec Corp. Slurry for chemical mechanical polishing
US7189684B2 (en) 2002-03-04 2007-03-13 Fujimi Incorporated Polishing composition and method for forming wiring structure using the same
JP2009006469A (ja) * 2004-07-28 2009-01-15 Cabot Microelectronics Corp 貴金属のための磨き組成物
WO2012133561A1 (ja) 2011-03-30 2012-10-04 株式会社 フジミインコーポレーテッド 研磨用組成物および研磨方法

Also Published As

Publication number Publication date
US20030176068A1 (en) 2003-09-18
DE69734868D1 (de) 2006-01-19
ATE312895T1 (de) 2005-12-15
KR100302671B1 (ko) 2001-09-22
US6635186B1 (en) 2003-10-21
WO1998004646A1 (en) 1998-02-05
EP0852615A1 (en) 1998-07-15
EP0852615B1 (en) 2005-12-14
EP0852615A4 (en) 2002-09-04
US20040072439A1 (en) 2004-04-15
TW353783B (en) 1999-03-01
JP4202424B2 (ja) 2008-12-24
US20050266689A1 (en) 2005-12-01
US7033942B2 (en) 2006-04-25
KR19990063753A (ko) 1999-07-26
US6313039B1 (en) 2001-11-06
US7314823B2 (en) 2008-01-01
US7276180B2 (en) 2007-10-02
AU3661997A (en) 1998-02-20
US6117783A (en) 2000-09-12
DE69734868T2 (de) 2006-08-03

Similar Documents

Publication Publication Date Title
JP4202424B2 (ja) 化学機械研磨組成物及び化学機械研磨方法
EP0896042B1 (en) A polishing composition including an inhibitor of tungsten etching
US20040134873A1 (en) Abrasive-free chemical mechanical polishing composition and polishing process containing same
KR101069472B1 (ko) 칼코게나이드 물질의 화학 기계적 평탄화 방법
US5980775A (en) Composition and slurry useful for metal CMP
US7915071B2 (en) Method for chemical mechanical planarization of chalcogenide materials
KR100594561B1 (ko) 구리 기판에 유용한 화학 기계적 연마용 슬러리
US7435162B2 (en) Polishing fluids and methods for CMP
KR101099721B1 (ko) 모듈라 베리어 제거 연마 슬러리
JPH10265766A (ja) 金属のcmpに有用な組成物及びスラリー
JP2008546214A (ja) 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス
EP1851286A2 (en) Novel polishing slurries and abrasive-free solutions having a multifunctional activator
WO2009064365A2 (en) Compositions and methods for ruthenium and tantalum barrier cmp
JP2001127019A (ja) 金属用研磨液及びそれを用いた基板の研磨方法
JP2008277848A (ja) 化学機械研磨組成物及び化学機械研磨方法
JP2006066851A (ja) 化学的機械研磨用組成物
Kim et al. Acid colloidal silica slurry for Cu CMP
Gorantla Role of slurry chemicals in chemical-mechanical planarization of copper interconnects
Evans Metal Polishing Processes

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060613

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061004

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080512

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080909

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081009

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111017

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees