KR19990063753A - 화학 기계적 연마용 조성물 및 화학 기계적 연마 방법 - Google Patents

화학 기계적 연마용 조성물 및 화학 기계적 연마 방법 Download PDF

Info

Publication number
KR19990063753A
KR19990063753A KR1019980702220A KR19980702220A KR19990063753A KR 19990063753 A KR19990063753 A KR 19990063753A KR 1019980702220 A KR1019980702220 A KR 1019980702220A KR 19980702220 A KR19980702220 A KR 19980702220A KR 19990063753 A KR19990063753 A KR 19990063753A
Authority
KR
South Korea
Prior art keywords
chemical mechanical
mechanical polishing
metal
slurry
dielectric material
Prior art date
Application number
KR1019980702220A
Other languages
English (en)
Other versions
KR100302671B1 (ko
Inventor
로버트 제이. 스몰
로렌스 맥기
데이비드 존 말로니
매리 루이스 피터슨
Original Assignee
피. 제리 코더
이케이씨 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=21814282&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR19990063753(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 피. 제리 코더, 이케이씨 테크놀로지, 인코포레이티드 filed Critical 피. 제리 코더
Publication of KR19990063753A publication Critical patent/KR19990063753A/ko
Application granted granted Critical
Publication of KR100302671B1 publication Critical patent/KR100302671B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/959Mechanical polishing of wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명은 슬러리를 포함하는 화학 기계적 연마 조성물에 관한 것이다. 선택적 산화 및 환원 화합물의 충분한 양을 조성물에 제공하여, 금속 및 유전 물질을 시차 제거한다. pH 조정 화합물로 조성물의 pH를 조절하여, 금속 및 유전 물질의 시차 제거를 제공하는 선택적 산화 및 환원 화합물이 제조될 수 있는 pH를 제공한다. 화학 기계적 연마용 조성물은 히드록실아민 화합물, 과황산암모늄, 및 과산화수소, 과아세트산 또는 과요오드산의 간접적인 공급원이 되는 화합물을 화학 기계적으로 연마하기에 효과적인 양을 포함함으로써 개선된다. 화학 기계적 연마를 위한 방법은 슬러리를 금속 및 유전 물질 표면에 가하여 금속 및 유전 물질을 기계적으로 제거함을 포함한다. 선택적 산화 및 환원 화합물을 가하여 금속 및 유전 물질을 시차 제거한다. 슬러리의 pH 및 선택적 산화 및 환원 화합물을 조절하여, 금속 및 유전 물질의 시차 제거를 제공한다. 화학 기계적 연마를 위한 방법은 슬러리를 금속 및 유전 물질 표면에 가하여, 금속 및 유전 물질의 기계적 제거를 수행하고, 히드록실아민 화합물, 과황산암모늄, 및 과산화수소, 과아세트산 또는 과요오드산의 간접적인 공급원이 되는 화합물을 화학 기계적으로 연마하기에 효과적인 양으로 제공한다.

Description

화학 기계적 연마용 조성물 및 화학 기계적 연마 방법
화학 기계적 연마(또는 평탄화)(CMP)는 반도체 산업에서 급속히 성장하고 있는 분야이다. CMP는 웨이퍼 표면 상에 전체적 평탄화를 제공한다(일반적 나노미터 치수 대신에 밀리미터의 면적). 상기 평탄화는 유전체(절연체) 및 금속 기판에 의한 웨이퍼의 적용 범위를 개선시키고, 석판 인쇄, 에칭 및 침착 공정 범위를 증가시킨다. 많은 장치 회사 및 소비재 생산업체(슬러리, 연마 패드 등)가 시장에 진출하였다.
CMP는 지난 10년 동안 발전되어 왔고, 층간 유전체(ILD)의 평탄화 및 다층 금속(MLM) 구조에 적합하도록 개조되어 왔다. 80년 동안, IBM은 CMP 공정에 대한 기초를 발전시켰다. 이전에(오늘날에도 여전히 많은 제조업에서 사용됨), 플라즈마 에칭 및 반응성 이온 에칭(RIE), SOG("유리 상에서의 스핀"), 또는 예를 들어 유리상에서의 붕소 인 스핀을 갖는 환류(BPSG)가 몇가지 유형의 국소적 평탄화를 달성하기 위한 유일한 방법이다. 전체적 평탄화는 전체 칩을 제공하는 반면, "국소적" 평탄화는 약 50 미크론 면적만을 커버하는 것이 통상적이다.
1991년에 캘리포니아, 산타 클라라에서 이루어진 VIMC 회의에서, IBM은 CMP 공정에 대한 첫 번째 데이터를 제공하였다. 1993년에 VIMC 회의에서, IBM은 구리 물결 무늬(damascene)(절연체 홈 중의 애벌칠 금속선) 공정이 CMP 공정 단계에 의한 MLM 요건에 대해 실행 가능함을 설명하였다. 1995년에, 첫 번째 텅스텐 연마 슬러리가 상품화되었다.
반도체 산업에 대한 내셔널 테크놀로지 로우드맵(National Technology Roadmap)(1994)은 수치적 크기가 0.35 미크론인 현재의 컴퓨터 칩이 2001년에는 수치적 크기가 0.18 미크론으로 감소할 것임을 제시하였다. DRAM 칩은 1 기가비트의 메모리를 가질 것이고, 대표적 CPU는 13 밀리언 트랜지스터/㎠을 가질 것이다(현재 이들은 4 밀리언만을 갖는다). 금속층("와이어")의 수는 현재 2-3개로부터 5-6개로 증가하고, 현재 200㎒인 작동 주파수는 500㎒로 증가할 것이다. 이로 인해, 전기 신호의 지연을 감소시키기 위해 웨이퍼 칩에 대한 3차원 구성에 대한 필요성이 증가할 것이다. 현재, "와이어"/칩은 약 840m이지만, 2001년 까지는(유의할만한 설계 변화 없이), 대표적 칩은 10,000m를 가질 것이다. 와이어의 상기 길이는 칩의 속도 효율을 심하게 손상시킨다.
오늘날의 웨이퍼 CD(임계적 치수)를 위해 필요한 전체적 평탄화는 초점의 깊이를 개선시켜서, 보다 양호한 금속 박막 침착 및 단계 적용 범위를 유발시키고, 계속해서 웨이퍼 수율을 증가시키고 비용/장치를 감소시킨다. 현재의 제한된 평탄화 공정으로는 비용이 약 $114/층/웨이퍼인 것으로 현재 평가되고 있다(1996). 기하학적 형태가 0.35 미크론 보다 작아짐에 따라, 보다 양호한 석판 인쇄에 대한 평탄화 요건이 중요해지고 있다. CMP는 필수적이지는 않지만, 다중 금속 수준 및 물결 무늬 공정에 대해 점점 중요해지고 있다.
CMP 공정은 표면 재료를 분쇄(조금씩 깎아냄)시키는 연마 매체 및 연마 패드의 존재하에 회전 압반 상에서의 웨이퍼의 간단한 회전인 것으로 보인다. CMP 공정은 사실상, 2단계 메카니즘인 것으로 여겨지며; 단계 1은 재료의 표면을 화학적으로 개질시키는 것을 포함하고, 최종 단계에서, 변형된 재료는 기계적 분쇄에 의해 제거된다. 이러한 공정의 목적은 기판의 화학적 공격 및 분쇄 속도를 조절하고, 바람직한 외관에 유의할 만한 손상을 주지 않으면서, 손상된 웨이퍼 외관을 제거하기 위한 고선택도(선택)를 유지시키는 것이다. CMP 공정은 조절된 침식 공정과 매우 유사하다.
더해진 복잡성은 웨이퍼가 실제로 기계적, 전기적 및 화학적 특징(이들은 모두 가요성인 매우 얇은 기판 상에서 이루어짐)이 매우 상이한 재료의 복잡한 샌드위치라는 점이다.
CMP 공정은 금속 구조 "디싱(dishing)" 및 산화물 침식에 영향을 줄 구조적 패턴 밀도에 대해 매우 민감하다. 큰 면적의 외관은 작은 면적의 외관보다 더 느리게 평탄화된다.
CMP에 대한 최근의 세미콘/사우쓰웨스트 95 테크니컬 프로그램(SEMICOM/Southwest 95 Technical program)에서는, "금속 CMP가 깊은 서브미크론 집적 회로에서 전도체 정의에 대한 기본 공정이 될 가능성을 가짐"을 규정하였다. 이것은 경쟁적 비용으로 성공적인 집적 공정 플로우를 달성하는 데에 있어서 CMP 기술자의 상대적 성공률에 의존하거나 의존하지 않는다.
슬러리: CMP는 규소 산화물, BPSG, 및 규소 질화물 및 또한 금속막의 유전체간 높이(IDL)의 평탄화에 성공적으로 적용되어 왔다. 현재 연구되고 있는 금속막은 텅스텐(W), 알루미늄(Al) 및 구리(Cu)를 포함한다.
연마 슬러리는 CMP 공정의 임계적 부분이다. 연마 슬러리는 일반적으로 물 용액 중의 연마성 현탁액(실리카, 알루미나 등)으로 구성된다. 연마제의 유형 및 크기, 용액 pH, 및 산화성 화학물질의 존재(또는 결핍)이 CMP 공정의 성공에 매우 중요하다.
금속 CMP 슬러리는 웨이퍼 상의 유전체 외관과 비교하여 원하지 않는 금속을 제거하기 위한 고선택도를 가져야 한다. 금속 제거 속도는 금속 플러그의 "디싱" 또는 산화물 기판의 침식이 과도하지 않으면서 1700 내지 3500Å/분이어야 한다.
산화물 CMP는 유사한 요건 및 1700Å/분에 근접한 연마율을 갖는다.
금속 연마: 상기 유형의 연마는 금속 표면의 산화, 및 에멀션 슬러리에 의한 산화물 표면의 후속적 연마에 의존한다. 상기 메카니즘에서, 화학물질의 pH가 중요하다. 일반적 반응식은 다음과 같다(M=금속 원자) :
M0→ Mn++ ne-
Mn++ [Ox]y→ MOy또는 [M(OH)x]
이상적 조건하에서, 금속 산화물(MOy) 생성 속도(Vf)는 산화물 연마 속도(Vp)와 동일할 것이다(Vf=Vp). pH가 너무 낮은 경우(산성), 화학물질은 산화물을 신속하게 투과하고 금속을 공격하여(Vf<Vp), 추가의 산화물 형성 없이 금속을 노출시킬 수 있다. 이것은 모든 금속 표면이 높은 지점 및 골에서 동일한 속도로 제거됨을 의미한다. 표면의 평탄화는 달성되지 않는다. 이로 인해, 금속 플러그 연결부가 평탄화 표면 아래로 오목해져서("디싱"), 결국 불량한 단계 적용 범위 및 가능한 불량한 접촉 저항을 유도할 것이다.
pH가 너무 높은 경우(알칼리성), 산화물 층은 화학물질에 대해 비투과성이 되고, 금속은 비반응성이 되고(Vf>Vp), 금속 연마 속도는 느려질 것이다. 산화물에 대한 금속 연마 민감성은 금속 유형에 따라 20 내지 100:1이 일반적이다. 텅스텐 금속은 금속 대 산화물에 대해 선택도가 50:1 보다 크고, 구리는 금속 대 산화물에 대해 선택도가 140:1 보다 커야 한다. 에칭 속도는 7000Å/분일 수 있다. 화학물질 확산 속도 및 금속 산화물 표면의 유형이 성공적 평탄화 공정에 대해 중요하다. 상세한 메카니즘은 카우프만(Kaufman)에 의해 제안되었다.
실시상, 전형적 금속 CMP 공정에 사용되고 있는 낮은 pH 및 높은 침식 산화제(질산 제2철)은 연마 장치와 관련한 문제점을 발생시켰다. 현재, 금속 연마 단계에 사용되는 산화제는 질산, 과산화수소, 질산 세슘 및 철(Ⅲ) 용액 및 심지어는 시안화 철(Ⅲ) 용액이다. 화학적 안정성 문제 때문에, 많은 슬러리는 사용 시점에서 제조되며, 이는 보장 기간이 거의 없음을 의미한다.
금속 평탄화는 안정하고, 이동성 이온 오염을 유도하지 않고, 장치를 "오염"시키지 않고, 슬러리 조성 및 슬러리 입자 분포에 영향을 주지 않고, 일반적으로 환경적으로 양립할 수 있는 산화 시약을 필요로 한다. 현재의 과산화수소 시스템은 슬러리와 사전 혼합시킬 경우에 안정하지 않고, 따라서 분리 펌핑 시스템을 갖는 연마 장치로 운반되어 사용 시점에서 혼합되어야 한다. 질산철(Ⅲ) 시스템은 낮은 pH를 필요로 하고, 연마 장치를 "오염"시키는 것으로 공지되어 있다. 요오드산칼륨 시스템은 또한 공간적 취급을 필요로 한다.
최근 CMP 분야는 구리 물결 무늬 공정을 제공한다. 구리 금속 상호연결부(와이어)는 Al에 비해 우수한 전도성 때문에 필요할 것이다. 구리와 관련한 하나의 주된 단점은 정상 작업 조건하에서의 실리카를 통한 용이한 확산이다. 구리 물결 무늬 공정은 구리 확산을 방지하기 위해 장벽층을 필요로 할 것이다.
물결 무늬 공정에서, "라인" 또는 트렌치는 유전체간 층 내로 에칭된 후, 이들 트렌치의 벽은 장벽 재료로 피복된다. 이들 재료는 특히 Ta, TaN, Ti 또는 TiN을 포함할 수 있다. 구리 금속은 전기 없이 또는 전극 프레이팅에 의해, 또는 PVD 또는 CVD 방법에 의해 침착된다. 트렌치 상의 과도한 구리는 화학 기계적 연마에 의해 제거된다. CMP 공정의 어려운 부분은 과도한 구리를 제거("디싱")하지 못하여 유전체간 층 아래의 구리를 제거하지 못할 것이라는 것이다.
구리 금속의 CMP는 넓은 pH 범위(2 내지 12)에 걸쳐 수행될 수 있다. 구리에 대한 포바익스(Pourbaix) 다이어그램은 구리가 단지 천연 또는 합성 용액 중에서 불활성(산화물 층)일 수 있다는 점을 제시한다. 산 용액에서, 억제제, 즉 벤조트리아졸(BTA)은 일반적으로 CMP 공정에서 사용되는 화학물질으로부터의 등방성 에칭 작용을 조절하는 데에 필요하다. CMP 작업 중 대부분은 다양한 pH 범위에서 과산화수소에 의해 수행되어 왔다.
일부 CMP 작업은 수산화 암모늄에 의해 수행되어 왔으며, 그 이유는 구리, 티타늄 및 규소 산화물 사이의 불량한 선택도와 관련한 문제점이 있지만, 수산화 암모늄이 구리 착물을 생성시키는 능력이 있기 때문이다.
층간 유전체(산화물) 연마: 최근에, ILD(산화물) CMP를 사용하는 일군의 공학자들이 CMP 공정 요건을 우선적으로 해결할 것을 제기하였다. 주된 관심사는 표면 손상(스크래칭 등) 후의 웨이퍼(연마) 불균일성(웨이퍼 내에서, 및 웨이퍼로부터 웨이퍼까지), 연마 속도 및 최종 평탄도이다. 메카니즘이 여전히 개발되고 있지만, 연마 공정은 2가지 동시 공정을 수반하는 것으로 보이며; 기계적 공정은 표면의 플라스틱 탈형성 및, 실란올 결합을 형성시키기 위한 수산화물(-OH)에 의해 화학적 공격을 수반한다.
SiO2+ 2H2O < ===== > Si(OH)4(aq)pH < 9 logKs= -2.7
Si(OH)4+ OH < ==== > SiO(OH)3 -+ 1H2O pH > 9 logKs= -1.7
SiO(OH)3 -==== > 다핵종 pH > 10.5
2Si(OH)4==== > (HO)3Si-O-Si(OH)3+ H2O
슬러리(콜로이드성 현탁액)에서, pH가 중요하고, 규소산화물 시스템에 대해서는, 10 내지 11.5가 필요하다. 현재, CMP 사용자는 수산화 나트륨으로 "완충된" 규소산화물 기재 슬러리를 사용하고 있지만, 현재 수산화 칼륨 또는 수산화 암모늄 용액으로 제형화시키고 있다. 에칭 속도는 1700Å/분의 범위 내에 있다.
만약 pH가 너무 높다면, 다핵종은 예측할 수 없는 방식으로 침전을 시작할 수 있다. 농축 공정으로 Si 결합을 형성하는 것이 또한 가능하다.
규소 표면의 다른 중요한 특징은 에칭 속도 및 최종 표면 상태(금속 오염 및 가능하게는 마이크로 스크래치)에 영향을 주는 것이다. 상기 언급된 바와 같이, 대표적 규소 표면은 중성 또는 염기성 조건하에-OH기로 종결된다. 규소 표면은 친수성이다(표면은 "습윤성"임). 이들 기는 많은 가능한 화학물질 또는 물리적 흡수 현상에 대해 표면을 활성화시킨다. Si-OH군은 염의 형성을 허용하고, 여러 금속에 대해 양성자(H+)를 교환시키는(이온 교환 수지와 유사함) 약산 효과를 제공한다. 이들 Si-O-및 Si-OH는 또한 Al, Fe, Cu, Sn 및 Ca를 착화시키기 위한 리간드로서 작용할 수 있다. 물론, 표면은 매우 쌍극성이어서, 정전기 전하는 벌크 용액의 pH, 이온 농도 및 전하에 따라, 축적되거나 소산될 수 있다. 이러한 축적된 표면 전하는 제타(Zeta) 전위로서 측정될 수 있다.
산화물 층의 아래에 있는 실리카(Si) 표면이 과도한 응집성 연마 공정으로 인해 노출되는 경우, 이것은 실리카가 Cu, Au, Pt, Pb, Hg 및 Ag를 실리카 표면 상의 "플레이팅"시키는 적합한 산화환원 전위를 갖기 때문에 전기화학적 문제점을 야기시킬 수 있다. 광에 대한 노출은 또한 Cu에 대한 산화환원 반응에 영향을 미칠 것이다. 광은 반도체 Si재료에서 전자를 생성시켜 구리 이온을 Cu°으로 환원시킬 것이다.
후세정 공정: ILD 및 금속 연마 공정은 기본적으로 최종 세정단계를 거쳐서 미량의 슬러리 및 화학물질을 제거해야 한다. 공정은 단순한, 즉, 브러시 솔질 및 린스 사이클인 것으로 여겨지지만, 공정이 단면, 이중면 솔질, 단일 웨이퍼 또는 배치 처리, 스프레이 도구 또는 침지탱크와 관련되어야 하는지를 결정하는데는 상당한 노력이 요구된다. 최근 후세정 CMP로 작업하는 작업은 웨이퍼 청결(슬러리 및 패드 입자 및 금속성 오염물로부터)에 있어서 후세정 단계에서 가장 중요한 쟁점으로 부상되었다. 공정 신뢰도 및 결점 계측은 또 다른 우려되는 두 가지의 중요한 영역이다.
잔류 입자 수준은 약 1 입자/20㎠이어야 하고, 이들 입자의 크기는 90%가 0.2마이크론 이하이어야 한다. 0.35마이크론의 라인 폭은 0.035 이하로의 입자의 제거를 요할 것이다. 불완전한 입자의 제거는 웨이퍼의 수율을 감소시킬 수 있다. 낮은 결점(스크래치) 수준 및 허용되는 평탄도가 또한 아주 중요할 것이다.
대부분의 제조업자는 후세정 CMP 단계를 위한 이들 자체의 단계내 기술을 개발하였다. 대부분의 "화학방법"은 첨가된 수산화암모늄 또는 HF를 함유하는 탈이온수와 관련되고 있으며, 몇몇의 제조업자는 프런트 엔드 공정에서 통상적으로 사용된 표준 RCA SC-1(NH4OH:H2O2:H2O) 및 SC-2(HCl:H2O2:H2O) 세정 단계를 이용하고 있다.
웨이퍼 표면으로부터 불순물(입자 및/또는 이온)을 제거하는 다섯 가지의 메카니즘이 있다.
·용매에 의한 물리적인 탈착: 강하게 흡착된 소량의 물질을 약하게 흡착된 대량의 용매로 치환한다(표면전하의 상호작용을 변화시킴).
·산 또는 염기로 표면전하를 변화: Si-OH 또는 M-OH 군이 산중에서 양성자화(양성)되거나 염기로 음성이 되게 하여 양성자를 제거한다.
·이온 경쟁반응: 산을 첨가함으로써 흡착된 금속이온을 제거한다(즉, 이온교환).
·불순물의 산화 또는 분해반응: 금속, 유기물 또는 슬러리 입자의 표면을 산화시켜 불순물과 기판 표면 사이의 화학결합을 변화시킬 수 있다. 화학반응은 산화환원반응 또는 자유 라디칼 반응일 수 있다.
·표면 에칭: 불순물 및 특정 두께의 기판 표면을 용해시켜 불순물을 제거한다.
발명의 요약
본 발명의 첫 번째 관점에 따르면, 화학 기계적 연마용의 본 발명의 조성물은 슬러리를 포함한다. 충분한 양의 선택적 산화 및 환원 화합물이 조성물에 함유되어 금속 및 유전물질을 시차 제거한다. pH 조절 화합물은 조성물의 pH를 조절하여, 선택적 산화 및 환원 화합물이 금속 및 유전물질을 시차 제거하게 하는 pH가 되도록 한다.
본 발명의 두 번째 관점에 따르면, 화학 기계적 연마용 조성물은 화학 연마용의 유효량의 히드록실아민 화합물을 포함함으로써 개선된다.
본 발명의 세 번째 관점에 따르면, 화학 기계적 연마용 조성물은 과황산암모늄을 포함함으로써 개선된다.
본 발명의 네 번째 관점에 따르면, 화학 기계적 연마용 조성물은 과산화수소의 간접 공급원인 화합물을 포함함으로써 개선된다.
본 발명의 다섯 번째 관점에 따르면, 화학 기계적 연마용 조성물은 과아세트산을 포함함으로써 개선된다.
본 발명의 여섯 번째 관점에 따르면, 화학 기계적 연마용 조성물은 과요오드산을 포함함으로써 개선된다.
본 발명의 일곱 번째 관점에 따르면, 화학 기계적 연마 방법은 슬러리를 금속 및 유전물질 표면에 가하여 금속 및 유전물질을 기계적으로 제거함을 포함한다. 선택적 산화 및 환원 화합물은 금속 및 유전 물질을 시차 제거하도록 가해진다. 슬러리 및 선택적 산화 및 환원 화합물의 pH는 금속 및 유전 물질이 시차제거되도록 조절된다.
본 발명의 여덟 번째 관점에 따르면, 화학 기계적 연마 방법은 화학 기계적 연마 유효량의 히드록실아민 화합물을 포함하는 슬러리를 금속 및 유전물질 표면에 가하여, 금속 및 유전물질을 제거함을 포함한다.
본 발명의 아홉 번째 관점에 따르면, 화학 기계적 연마 방법은 화학 기계적 연마 유효량의 과황산암모늄을 포함하는 슬러리를 금속 및 유전물질 표면에 가하여, 금속 및 유전물질을 제거함을 포함한다.
본 발명의 열 번째 관점에 따르면, 화학 기계적 연마 방법은 과산화수소의 간접 공급원인 화학 기계적 연마 유효량의 화합물을 포함하는 슬러리를 금속 및 유전물질 표면에 가하여, 금속 및 유전물질을 제거함을 포함한다.
본 발명의 열한 번째 관점에 따르면, 화학 기계적 연마 방법은 화학 기계적 연마 유효량의 과아세트산을 포함하는 슬러리를 금속 및 유전물질 표면에 가하여, 금속 및 유전물질을 제거함을 포함한다.
본 발명의 열두 번째 관점에 따르면, 화학 기계적 연마 방법은 화학 기계적 연마 유효량의 과요오드산을 포함하는 슬러리를 금속 및 유전물질 표면에 가하여, 금속 및 유전물질을 제거함을 포함한다.
본 발명은 반도체 웨이퍼의 화학 기계적 연마 또는 평탄화용 조성물 및 방법에 관한 것이다. 더욱 상세하게는, 본 발명은 진보된 집적 회로 제조의 더욱 엄격한 요건을 충족시키기에 적합한 조성물 및 방법에 관한 것이다.
도 1 및 도 2는 본 발명을 이해하는데 유용한 구리와 금속에 대한 푸어바익스(Pourbaix)도이다.
본 발명의 CMP는 새롭게 성장하고 있는 방법이며, 새로운 그룹의 발명이다. 이러한 본 발명은 금속 및 절연체 층에서의 CMP 결점을 감소, 웨이퍼내 및 웨이퍼로부터 웨이퍼까지의 우수한 평탄도, 사용 시점에서 혼합하지 않아도 되는 않는 미리 혼합된 농축물; 일반적인 후 CMP 세정 및 높은 연마 선택도를 포함한다. 또한 환경, 건강 및 안전상의 문제가 있다. 이러한 문제는 (1) 우수한 증기 처리(또는 증기처리에 대한 감소된 요건), (2) 가능한 슬러리 재생(또는 보다 환경 친화적인 슬러리 잔기 처리), (3) 연마제와 함께 사용되는 보다 안전한 화합물질 및 (4) 연마단계 동안에 우수한 종말점 검출(EPD)이다.
본 발명은 슬러리 형태의 연마제의 조성물(슬러리 입자 크기, 모양, 크기분포, %고형물) 또는 그러한 종류의 조성물에 관한 것이 아니다. 본 발명의 슬러리는 추가의 실험을 통해 개선될 수 있는 다양한 그밖의 성분(산화제, 또는 안정화제등)를 함유한다. 이러한 성분은 용액 pH, 화학특성 및 화학적인 유형 및 슬러리 순도을 포함한다. 본 발명은 화학특성 및 이의 가능한 pH, 제타 전위(Zeta potential), 접촉각("습윤") 및 그밖의 관련된 효과에 중점을 두고 있다.
본 발명의 첫 번째 단계는 상이한 pH 조건하의 히드록실아민(HDA) 및 히드록실아민 유도체(염화물, 황산염, 질산염 또는 그밖의 염)를 기본으로 하는 CMP 화학특성을 이해하는데 중점을 두고 있다. HDA(NH2OH)는 산화환원 화학에서 히드라진(NH2NH2)와 과산화수소(H2O2) 사이의 혼성물일 수 있다. HDA는 보다 선택적(조절 가능한) 산화 및 환원제이다. 이러한 이중성은 pH를 산성에서 염기성으로 이동시킴으로써 달성된다. 그러한 반응은 다음과 같다:
Cu2+(NH4OH) → Cu+pH 9-11 EV=-0.08 환원
Cu+(H2SO4) → Cu+2pH 약 0-1 EV=+0.34 산화
과산화수소(산성)와 HDA(산 및 염기에서)에 대한 산화환원 전위(SHE에서 EV)는 다음과 같다:
H2O2→ O2+ 2H++ 2e-EV= +0.68
2NH2OH + 4OH-→ N2O + 5H2O + 4e-EV= -1.05
2NH3OH+→ N2O + 6H++ H2O + 4e-EV= -0.05
다행히도, 몇 가지의 금속이온은 제로(0) 산화상태로 환원되고, 이러한 특성은 CMP 공정에서 웨이퍼 표면이 금속입자로 오염되는 것을 피하는데 중요하다. 과산화수소 연마 시스템은 또한 아주 안정한 공정이 아니고, 미량의 전이금속에 의해 용이하게 분해된다. 현재 CMP 소비 공급기는 하나는 슬러리, 다른 하나는 과산화물을 공급하는 두 성분 시스템을 지녀야 한다.
산화환원제 이외에, HDA, 즉, 유사 암모니아는 Al(SO4)2 *NH2OH*H2O 및 Cu(x)2 *NH2OH*H2O를 포함한 많은 금속과 착염을 형성할 수 있다.
히드록실아민형 화합물을 사용하는 또 다른 중요한 이점은 이들의 분해 생성물에 있다. 용액 pH 및 금속 이온 및 농도에 따라, HDA는 물, 질소, 암모니아 및 N2O로 분해될 수 있다. 질소는 pH8 이상에서의 느린 산화환원 반응에 의해 형성된다.
금속 연마: 본 발명에서 CMP 공정에 연구되는 금속은 Al, Cu 및 W를 포함한다. 푸어바익스도는 다양한 연마속도(침식)에 대한 최상의 영역(EV대 pH)을 시험하는데 이용될 수 있다. 두 금속 또는 금속합금 시스템은 동일한 화학적 활성 영역을 지니지 않을 것이다. 이러한 데이터를 이용하여 한 금속의 연마속도의 선택성이 동일한 웨이퍼상에서 다른 금속(산화물 또는 질화물)보다 현저하게 크도록 CMP 연마 조건을 선택할 수 있다. 푸어바익스도는 웨이퍼 상에 존재하는 모든 금속, 산화물, 질화물 및 다른 물질에 대하여 얻을 수 있다. 상기 푸어바익스도를 중첩시킴으로써, 다른 금속에 대해서는 보호하면서 한 금속을 침식시킬 수 있는 pH 영역이 대체적으로 결정될 수 있다. 이러한 데이터는 고도의 선택성을 찾는데 유용한 한 수단일 수 있다. 도 1은 Cu에 대한 푸어바익스도를 나타낸다. 열역학적 데이터를 근거로 하는 이러한 도면은 구리, 산화제일구리(I) 및 산화제이구리(II)가 지구상의 산화환원 환경에서 함께 존재할 수 있음을 나타낸다(경사진 수평의 파선으로 도시됨). 상기 데이터는 또한 이들 세가지의 화합물이 pH 약 6.8이하 및 산화전위 약 0.2볼트 이상에서는 존재할 수 없으며, 모든 이들 화합물이 용해될 것이라는 것을 나타내고 있다.
보다 높은 pH 값에서 상기 세가지의 화합물은 다양한 음이온 (Cu(OH)2및 CuO2 -를 포함한 수용액으로 존재할 수 있다.
본 발명은 HDA 또는 이의 염이 CMP 방법을 이용하여 구리를 제거하는데 사용될 수 있음을 제시하고 있다. HDA 기재 화학물질을 이용하는데 있어서의 이점은 이의 산화환원 전위(EV=-1.05볼트)이 보다 산성조건(낮은 pH)을 요하는 통상의 방법 보다 높은 pH에서 Cu가 제거되게 할 수 있다는 것이다.
탈이온수중의 10% 히드록실아민 질산으로 최근 실험한 결과에 따르면 300A Ti 금속층상의 3000A 구리가 제거될 수 있음을 나타내고 있다: @ pH 3∼100A/분, pH 4∼125A/분 및 pH 5∼1000A/분. 이러한 결과는 푸어바익스도로부터 예상되는 pH 영향에 완전히 반대되는 결과이고 산화 전위의 결과이다.
유리 염기 히드록실아민(탈이온수중의 5%)이 동일한 형태의 구리 웨이퍼로 시험되는 경우, 에칭 속도는 10% 수산화암모늄이 시험되는 경우의 100A/분과 비교되는 75A/분으로 떨어졌다. 수산화암모늄 용액이 아주 서서히 구리를 용해시킬 수 있지만, 산화제(공기 또는 산소)가 유입된다면 에칭속도는 아주 클 수 있다는 것을 알 수 있다. 히드록실아민 용액은 환원용액이므로, 구리 에칭은 더 느리다. 이러한 데이터는 HDA가 매우 조절된(느린) 에칭속도에 대해 사용될 수 있다는 것을 나타낸다.
도 2는 알루미늄 금속에 대한 푸어바익스도이다. 이러한 데이터는 순수한 금속 Al이 정상의 산화환원법에는 존재할 수 없지만, 단지 산화물 피막으로 존재할 수 있음을 나타낸다. pH 4 내지 10에서 이러한 산화물층은 용해되지 않을 것이다.
블랭킷 Al 금속 웨이퍼로 실험한 결과에 따르면, Al 금속 및 이의 산화물층이 pH 4 또는 10에서 HAN을 사용함으로써 제거될 수 있으며, 그 이유는 금속층이 연마될 수 있기 전에 산화물층을 제거하는데 필요하기 때문이라는 것을 나타낸다. 농도범위는 0.5 내지 10중량%로 다양할 수 있다.
본 발명자들이 알고 있는 HDA 및 이의 정제방법은 독특한 HDA의 능력을 이해할 수 있어서 웨이퍼의 표면으로부터 이동성 이온(나트륨, 칼륨, 철 및 그밖의 전이금속 이온)을 제거하는데 도움이 된다. CMP 공정의 모든 단계가 웨이퍼 표면상의 이동성 및 전이금속이온 농도를 최소화한다는 것이 중요하다.
킬레이트화제; 즉, 알킬 베타-디케톤(2,4 펜탄디온 등) 또는 EDTA 또는 방향족 페놀성 알데히드(살리실알데히드 등) 또는 그밖의 제제가 첨가될 수 있다. 이러한 성분은 2ppm 내지 15중량% 범위의 농도로 첨가될 수 있다. 보다 높은 농도가 이용될 수 있지만, 이러한 킬레이터가 칩의 구조에 도금되거나 전체 화학물질의 효과를 변화시킬 수 있다.
HDA 유리 염기가 포화된 질소 용액이고, 유리 염기가 산소와 반응하여 매우 불량한 산화 전위를 갖는 용액을 생성시키므로, 가능하게는 질화물 구조가 용이하게 공격을 받지 않을 것이다. 이와 같이 산화물 대 질화물 연마 선택도는 증강되어야 한다.
조사는 HDA 용액이 요망 CMP 조건하에서 안정한지, 그리고 그 밖의 다양한 산화규소 시스템(SOG, TEOS, BPSG 등) 중에서 증강된 선택도가 존재하는지의 여부를 결정하는 것에 관한 것일 것이다.
CMP후 세정: 웨이퍼 표면의 화학물질적인 특성(친수성 또는 소수성)은 연마 단계후 웨이퍼 표면으로부터 입자를 제거하는데 필요한 용액의 형태 및 방법에 효과적일 것이다. 웨이퍼 표면에 대한 입자의 전하는 입자를 효과적으로 제거할 화학물질의 형태를 결정할 것이다. 입자의 제타 전위 및 이러한 값에 관한 용액의 pH를 이해해야할 필요가 있다. 암모니아 입자는 산성 조건하에서 제거될 수 있지만, 산화규소 물질은 염기성 용액을 필요로 한다.
동시에, 웨이퍼 표면으로부터 금속 오염물을 제거하는데 용액 첨가제를 사용하게 되면 이로울 것이다. 세정후 방법으로부터 웨이퍼상의 잔류 입자 수 및 금속 오염 수준에 관한 연구는 이들 첨가제는 수용성의 크라운 에테르 및 특이적 금속 킬레이트화제 또는 완충화된 시트르산 용액을 포함할 것이다.
HDA 및 HDA 관련된 화합물은 pH 및 산화환원 화학물질을 통해 웨이퍼 표면 및 입자에 효과적일 수 있지만, (관련된 분자의 크기에 대한 합당한 전하 밀도에도 불구하고) 단지 이들 화학물질종은 분자당 단일 이온 전하를 갖는다. 전하를 띈 화합물인 "고분자전해질"을 첨가함으로써 입자 주위 또는 웨이퍼상에서 정전기적 이중층을 확대할 필요가 있을 것이다. 일반적으로, 고분자전해질은 입자를 응집시키기에 충분히 높은 농도에서 사용된다. 본 발명에서, 본 발명자들은 서로 반발하여 웨이퍼 표면으로부터 멀리 떨어지도록 입자를 촉진시키는데 충분한 고분자전해질을 첨가하기를 원한다. 이것은 CMP후 세정 단계를 증대시킬 것이다. 이와 같은 영향을 미치는 농도 범위는 수천분의 1 내지 10중량%일 수 있다.
히드록실아민 및 이의 염을 포함하여, 그 자체로 또는 다른 화학물질과 함께 사용될 수 있는 CMP 적용에 또한 사용될 수 있는 그 밖의 수가지 형태의 산화환원 시약이 있다.
본 발명의 또 다른 양태에 따르면, 과황산암모늄(암모늄 퍼옥시디술페이트)는 CMP 방법을 사용하여 Al, 구리또는 텅스텐을 제거하는데 사용될 수 있다. 과황산암모늄는 전자 구성요소 보드로부터 구리 금속 막을 스트리핑시키는데 사용되었지만, 이러한 물질은 매우 조절된 방법에서 Cu를 제거하는데 사용되지 않았다. 본 발명자들은 CMP 공정 조건하에서 Al 금속을 연마시키는데 사용되는 이러한 화학물질을 알지 못한다.
텅스텐 CMP 공정은 텅스텐산염(WO4 =) 이온을 통해서 작동하는 것으로 보인다. 현재의 CMP 공정은 산성 조건하에서 질산철(III) 또는 과산화수소에 기초를 두고 있지만, 이러한 종을 수득하는 또 다른 실시가능한 방법은 W 금속을 염기성 조건하에서 산화제로 산화시키는 방법이다. 텅스텐산염은 pH>6에서 최대 용해도를 갖는다.
일반적으로, 과황산암모늄 용액의 pH 범위는 2 내지 3이다. 본 발명은 산화 용액의 pH를 보다 높은 값으로 조정함으로써, 생성된 용액이 W 금속 막을 연마시키는데 매우 효과적일 것이라는 것을 설명하고 있다.
이하 비제한적 실시예는 본 발명자가 최선의 방식이라 고려한 것이며, 본 발명을 추가로 설명하고자 함이다. 이들 실시예에서, 용액 화학물질은 하기와 같이 시험하였다:
실시예 1
시험: 과황산암모늄 용액을 제조한 후, 5% 알루미나 슬러리에 첨가하였다. 사용하기전에 단지 NaOH만을 사용하여 pH를 조정하였다.
33rpm 및 2psig에서 10,000Å 텅스텐 웨이퍼로 CMP 실험을 수행하였다. 패드는 로지테크(Logitech) P5M 연마기상에서 로델(Rodell) RC 1000이었다. 알루미나 슬러리만을 사용한 염기 라인 연마 실험을 통해 로지테크와 IPEC/웨스테크(Westech) 공업 규격 CMP 연마기 사이의 연마 인자가 8× 내지 10×임을 결정하였다.
10% 용액 pH 3 제거 속도 112Å
10% 용액 pH 6 제거 속도 105Å
10% 용액 pH 7.7 제거 속도 196Å
10% 용액 pH 7.9 제거 속도 198Å
5% 용액 pH 9 제거 속도 176Å
주: pH가 약 7.9에서 최대값이 나타남.
실시예 2
시험: 시험한 또 다른 조성물은 과황산암모늄(APS)이며, 말론산(MA)의 농도를 변화시켜가면서 수행하였다. pH는 수산화나트륨으로 조정하였다. 수산화암모늄은 질소와 물로 산화될 것이다.
APS MA pH 에칭 속도 (Å/분)
10% 1% 6 162
10% 1% 8.1 460
10% 0.4% 8 291
5% 1% 8.8 265
10% 0% 8 162
주 : 최상의 에칭 속도는 pH가 8 이상일 때이고 말론산은 5%, 1% MA 용액(265Å/분)과 비교하여, 포지티브 효과(10% APS, 0% MA, 에칭 속도 162Å/분)를 갖는다.
산화제에 첨가될 수 있고 또한 CMP 공정에서 사용될 수 있는 다른 첨가제가 있다. 이들 첨가제는 옥살산, 락트산, 글루콘산, 말론아미드, 및 시트르산을 포함할 수 있다. 이러한 유기산들은 평탄화 용액의 pH 보다 낮은 pKa를 가져야 한다. 이들 산은 가장 효과적인 킬레이트화 종이어야 하는 상응하는 음이온 형태로 갖는 것이 바람직하다.
말론산(HO2CCH2CO2H) 이외에도, APS는 하기의 다른 유기산과 결합시키는 경우 W CMP에 대해 효과적으로 사용될 수 있다: 숙신산(HO2CCH2CH2CO2H), 타르타르산(HO2CCH(OH)CH(OH)CO2H), 시트르산(HO2CCH2C(OH)(CO2H)CH2CO2H), 및 옥살산(HO2CCO2H).
산화 용액의 pH를 조절하는데 사용될 수 있는 염기로는 다른 것들 중에 소듐 히드록시드, 포타슘 히드록시드, 마그네슘 히드록시드, 마그네슘 카르보네이트 및 이미다졸이 있다.
포함될 수 있는 다른 잠재적 산화제 화합물이 있다:
퍼옥시모노황산(카로 산(Caro's acid))(H2SO5) 또는 이것의 염은 매우 강한 산화제(E°= -1.44V)이다. 산 형은 황산과 유사한 해리 상수를 갖는 하나의 양자를 갖는 반면, 제 2 양자의 pKa는 단지 9.4이다.
실시예 3
시판되는 제품 카로오트(Caroat)(포타슘 퍼옥소모노술페이트 화합물, 카로산의 포타슘 염을 포함함, 실험식 2KHSO6KHSO4K2SO4)은 낮은 pH에서 수성 시스템중의 양호한 산화제이지만, APS와 결합되지만, 더 높은 pH 값에서 W CMP에 대해 좋은 결과를 나타낸다. 카로오트는 데구사(Degussa) 코포레이션의 등록된 제품이다. 하기의 제거 속도는 화학 첨가 속도가 100㎖/분이고 슬러리 첨가 속도가 20㎖/분이고, 5% 알루미나 슬러리(10% 알루미나 50부 + 90% 물 슬러리)를 갖는, 3" 웨이퍼(10,000Å 스퍼터된 W) 상의 로지테크(Logitech) PM5 연마기(33rpm, 12" IC1000 패드, 2psig)에 대한 속도이다:
APS (부/100) 카로오트 (부/100) pH 제거 속도 (Å/분)
10 1.0 5.5 90
10 1.0 7.5 139
10 1.0 8.7 349
결론: pH가 5.5 내지 8.7 범위로 증가하면서 제거 속도가 증가하는 경우, APS와 카로오트 사이의 상승 작용은 W 제거 속도를 증가시킨다.
산소, 퍼옥시몬술페이트는 pH 안정도(2 내지 6 및 12)의 범위가 넓은 퍼옥시모노술페이트와 유사한 표준 전극 전위를 갖는다.
실시예 4
말론아미드(H2NCOCH2CONH2)와 결합된 APS는 화학 첨가 속도가 90㎖/분이고 슬러리 첨가 속도가 20㎖/분이고, 5% 알루미나 슬러리(10% 알루미나 50부 + 90% 물 슬러리)를 갖는, 3" 웨이퍼(10,000Å 스퍼터된 W) 상의 로지테크(Logitech) PM5 연마기(33rpm, 12" IC1000 패드, 2psig)를 사용하여 APS + 말론산의 경우와 비교할 만한 W 제거 속도를 나타낸다.
APS (부/100) 카로오트 (부/100) pH 제거 속도 (Å/분)
10 0 9.0 176
10 1.0 9.0 429
10 2.5 8.9 385
10 2.0 7.9 250
10 0 7.9 198
결론: 말론아미드는 APS 단독의 W 제거 속도에 비해 수성 시스템중에서 APS와 결합하는 경우 W 제거 속도를 증가시킨다. 제거 속도는 pH와 함께 증가한다.
과산화수소의 사용이 금속 CMP 분야에서 널리 공지되어 있을지라도, 이것은 슬러리 혼합물과 혼합하는 경우 불량한 장기간 안정성의 문제가 있다. CMP 사용자는 연마기상에서의 사용 바로 전까지 슬러리로부터 과산화물 용액을 분리시킴으로써 이러한 문제를 조정해야 한다. 이것은 CMP 사용자가 웨이퍼당 CMP 비용에 직접 영향을 미치는 소유권의 비용을 증가시키는 이중 분산 시스템을 구비하여야 함을 의미한다.
본 발명의 또 다른 일면을 따라, 소듐 퍼보레이트 테트라히드레이트와 같은 퍼보레이트는 과산화수소에 대한 간접적인 공급원인 우수한 화합물이다. 테트라보레이트는 10.5% 활성 산화 함량을 갖는다. 이러한 화합물은 과산화수소와 상이한 안정성을 가져서 CMP 금속 에칭 요도에 대한 중요한 화합물일 수 있다. 퍼보레이트염의 건조 형태는 세정제 제형, 가루 치약 및 의치 클리너를 포함하는, 많은 표백 용도에서 사용될 수 있다.
소듐 퍼보레이트의 낮은 안정성으로 인해 소듐 퍼보레이트는 또한 슬러리 또는 보조 슬러리 성분으로서 사용될 수 있다. 이것의 화학 특성은 연마기로서 뿐만 아니라 산화제로서도 작용할 수 있기 때문에 CMP 공정에 매우 유용할 수 있다. 이것의 용해도가 낮지만 금속/금속 산화물과의 직접 접촉에 의해 더욱 양호한 에칭 조절을 제공할 수 있다.
소듐 카르보네이트 퍼옥시히드레이트(2Na2CO3*3H2O2)와 같은 다른 화합물은 약 14중량% 활성 산소를 함유한다. 이 화합물은 또한 과산화수소보다 더욱 양호한 안정성을 가져서 금속 CMP용으로 중요한 물질일 수 있다.
시험: 블랭킷 Al 금속(5000Å) 웨이퍼를 사용한 실험은 5중량% 히드록실아민 용액이 금속의 2Å/분으로 제거되지만, 5중량% 소듐 퍼카르보네이트는 6.4Å/분으로 제거되는 것을 나타낸다. 연마 조건은 3" 웨이퍼상에서 33rpm 및 2psi 압력에서 폴리텍스(Politex) 펠트(felt) 클로쓰(cloth)를 사용한 로지테크 P5M 연마기를 갖는다. 시험 동안에 사용되는 슬러리는 전혀 없다.
실시예 5
블랭킷 W 금속(10,000Å) 웨이퍼를 사용한 실험은 10중량% 히드록실아민 용액이 금속의 3.3Å/분으로 제거되지만, 5중량% 소듐 퍼카르보네이트는 168Å/분으로 제거되는 것을 나타낸다. 실험은 또한 2중량% 질산철(Ⅲ)는 금속의 단지 34Å/분으로 제거될 것을 나타낸다. 연마 조건은 3" 웨이퍼상에서 33rpm 및 2psi 압력에서 폴리텍스 펠트 클로쓰를 사용한 로지테크 P5M 연마기를 갖는다. 시험 동안에 사용되는 슬러리는 전혀 없다.
본 발명의 추가 일면에 따라, 관심이 되는 또 다른 화합물은 슬러리 시스템로 산화 화학의 더욱 조절된 도입을 가능케 하는 우레아 과산화수소 착물일 것이다.
실시예 6
블랭킷 W 금속(10,000Å) 웨이퍼를 사용한 실험은 5% 알루미나 슬러러리를 갖는 15중량% 히드록실아민 용액이 금속의 109Å/분으로 제거되지만, 단지 2중량% 알루미나 슬러리를 갖는 2중량% 우레아 과산화수소는 83Å/분으로 제거되는 것을 나타낸다. 7번 희석하고 슬러리가 더 적은 용액은 과산화수소 용액과 같은 금속과 같이 제거되는 것이 흥미롭다. 연마 조건은 3" 웨이퍼상에서 33rpm 및 2psi 압력에서 폴리텍스 펠트 클로쓰를 사용한 로지테크 P5M 연마기를 갖는다.
이러한 화학적 결합은 환경적으로 "친화성"인 폐기물 생성물(우레아 및 산소)를 생성할 것이다.
본 발명의 또 다른 일면에 따라, 평면화 텅스텐 또는 구리 금속에 효과적인, 화학적으로 이용가능한 또 다른 산화제는 퍼아세트산이다. 분해 생성물은 단지 산소 및 아세트산(식초)를 포함한다.
시험: 블랭킷 W 금속(10,000Å) 웨이퍼를 사용한 실험은 5% 알루미나 슬러러리를 갖는 15중량% 히드록실아민 용액이 금속의 109Å/분으로 제거되지만, 단지 2중량% 알루미나 슬러리를 갖는 3.5중량% 퍼아세트산은 166Å/분으로 제거되는 것을 나타낸다. 4번 희석하고 슬러리가 더 적은 용액은 과산화수소 용액과 같이 50% 넘는 금속을 제거하는 것이 흥미롭다. 연마 조건은 3" 웨이퍼상에서 33rpm 및 2psi 압력에서 폴리텍스 펠트 클로쓰를 사용한 로지테크 P5M 연마기를 갖는다.
본 발명의 추가 일면에 따라, 또 다른 독특한 아이디어는 2가지 상이한 화학물질을 배합하여 상승적 상호작용을 달성하는 것이다. 배합될 수 있는 2가지 가능한 화학물질은 과산화수소 및 히드록실아민이다.
실시예 7
블랭킷 W 금속(10,000Å) 웨이퍼를 사용한 실험은 5% 알루미나 슬러러리를 갖는 15중량% 과산화수소 용액이 금속의 109Å/분으로 제거되지만, 단지 5중량% 알루미나 슬러리를 갖는 10중량% 히드록실아민과 혼합된 10중량% H2O2는 731Å/분으로 제거되는 것을 나타낸다. pH를 8.7까지 조정한다. 연마 조건은 3" 웨이퍼상에서 33rpm 및 2psi 압력에서 폴리텍스 펠트 클로쓰를 사용한 로지테크 P5M 연마기를 갖는다.
실시예 8
블랭킷 W 금속(10,000Å) 웨이퍼를 사용한 실험은 10중량% 히드록실아민 용액이 금속의 3.3Å/분으로 제거되지만, 단지 5중량% H2O2및 5중량% 히드록실아민(pH 7.5)은 380Å/분으로 제거되는 것을 나타낸다. 실험은 또한 2중량% 질산철(Ⅲ)가 단지 금속의 34Å/분으로 제거될 것을 보여준다. 연마 조건은 3" 웨이퍼상에서 33rpm 및 2psi 압력에서 폴리텍스 펠트 클로쓰를 사용한 로지테크 P5M 연마기를 갖는다. 시험 동안에 사용되는 슬러리는 전혀 없다.
본 발명의 또 다른 일면은 2가지 상이한 화학물질을 배합하여 상승적인 상호 작용을 달성하는 것이다. 배합될 수 있는 2가지 가능한 화학물질은 과황산암모늄 및 과요오드산칼륨이다. 과요오드산칼륨는 요오드산칼륨와 비교하는 경우 산화 수준이 더 높다.
실시예 9
블랭킷 W 금속(10,000Å) 웨이퍼를 사용한 실험은 5% 알루미나 슬러러리를 갖는 10중량% 과황산암모늄는 금속(pH 8)의 162Å/분으로 제거되지만, 단지 5중량% 알루미나 슬러리를 갖는 2% KIO4와 혼합된 10중량% 과황산암모늄는 637Å/분으로 제거되는 것을 나타낸다. pH를 6.9까지 조정한다.
2중량% 요오드산칼륨(KIO3)가 과황산암모늄 용액으로 치환되는 경우, 연마 속도는 246Å/분까지 감소한다. 연마 조건은 3" 웨이퍼상에서 33rpm 및 2psi 압력에서 폴리텍스 펠트 클로쓰를 사용한 로지테크 P5M 연마기를 갖는다.
본 발명의 또 다른 일면에서, 이전의 일면의 경우와 유사한 화학은 텅스텐을 연마시키기 위해 과황산암모늄(APS) 및 과요오드산(과요오드산칼륨보다 오히려 이것을 사용) 사이의 상승 작용을 이용한다.
실시예 10
W의 제거 속도는 일반적으로 1% 또는 2.5% 알루미나(10% 알루미나 10 또는 25부 + 90% 물 슬러리), pH를 조정하는 0-3부 NH4OH, 화학물질/슬러리 첨가 속도가 50-100㎖/분으로 함께 결합된 화학물질 및 슬러리, 및 로지테크 PM5 연마기(33rpm, 12" IC1000 패드, 2psig)를 사용하여 스퍼터된 W(10,000Å)으로 피복된 3" 웨이퍼 상의 APS 없이 물중의 과요오드산(H5IO6)에 대한 pH를 증가시킨다:
알루미나 (부/100) 과요오드산 (부/100) pH 제거 속도 (Å/분)
1.0 2.0 1.4 130
1.0 2.0 1.9 274
1.0 2.0 2.1 326
2.5 2.0 2.5 252
2.5 2.0 6.8 426
결론: 텅스텐 제거 속도는 과요오드산의 농도가 일정하고 pH 범위가 1 내지 7인 것에 비해 pH 값을 더 높게 증가시킨다.
실시예 11
APS에 첨가된 물중의 과요오드산은 pH 1에서 APS 단독에 대해 W의 제거 속도를 증가시키며, 10부 APS와 함께 사용된 과요오드산의 양의 증가는 또한 로지테크 PM5 연마기(33rpm, 12" IC1000 패드, 2psig), 3" 웨이퍼(10,000Å 스퍼터된 W), pH를 조정하는 0-3부 NH4OH, 100㎖/분의 화학물질/슬러리 첨가 속도를 이용하여 W 제거 속도를 증가시킨다.
APS (부/100) 과요오드산 (부/100) pH 제거 속도 (Å/분)
0 2.0 2.4 130
10 2.0 1.1 386
10 0.5 3.5 118
10 2.0 5.2 388
10 0 6 112
결론: APS 및 과요오드산이 함꼐 사용되는 경우에 W 제거 속도를 증가시키는 상승적 효과가 있다. 증가된 제거 속도는 1 내지 7의 pH 범위에 대해 관찰된다.
실시예 12
일정 제거 속도는 pH를 조정하는 0-3부 NH4OH, 3" 웨이퍼(10,000Å 스퍼터된 W)를 연마시키기 전에 바로 화학물질에 첨가되는 2.5% 알루미나(10% 알루미나 25부 + 90% 물 슬러리), 100㎖/분의 화학물질/슬러리 첨가 속도, 및 로지테크 PM5 연마기(33rpm, 12" IC 1000 패드, 2psig)를 사용하여 APS 없는 과요오드산/NH4OH/물 시스템중에서 수일 동안 관찰하였다:
시간 (일) 과요오드산 (부/100) 제거 속도 (Å/분)
0 2.0 252
3 2.0 255
결론: 과요오드산은 단독으로 사용되는 경우 매우 양호한 연마을 갖고, 과산화수소와 다르게, 수일에 걸쳐 양호한 화학적 안정성을 갖는다.
실시예 13
수성 과요오드산 시스템에 대한 제거 속도의 비교는 하기에서 3" 웨이퍼(10,000Å 스퍼터된 W)를 갖는 로지테크 연마기(2psig)와 200㎜ 웨이퍼(10,000Å 스퍼터된 W)를 갖는 스트라스바우프(Strasbaugh) 6EC 연마기(5-7psig) 사이에서 제시된다. 작업 조건은 pH가 6 내지 7이고, 2.5% 알루미나(10% 알루미나 25부 + 90% 물 슬러리)를 사용하고, APS는 사용하지 않고, 스트라스바우프 6EC(40-50 rpm, 22" 다공성 IC1000 대 SUBA IV 패드)에 대한 화학물질/슬러리 첨가 속도는 200㎖/분이며 로지테크 PM5(33rpm, 12" IC1000 패드)에 대한 화학물질/슬러리 첨가 속도는 100㎖/분이다. 비교는 더 큰 스트라스바우프 연마기를 사용하여 결정된 제거 속도가 더 작은 로지테크 연마기를 사용하여 수득된 것보다 6 내지 8.6배 더 큰 것으로 제시하였다.
과요오드산(부/100) pH 다운포스(psig) 테이블 스피드(rpm) 연마기 제거 속도(Å/분)
2.0 6.8 2 33 로지테크 PM5 426
2.0 6 5 40 스트라스바우프 6EC 2535
2.0 6 5 40 스트라스바우프 6EC 2727
2.0 6 5 50 스트라스바우프 3174
2.0 6 7 50 스트라스바우프 6EC 3666
결론: W 연마에 대한 결과는 로지테크 평탄제를 이용하여 측정한 제거 속도와 스트라바우프 6EC와 같은 더 큰 평탄제를 이용하여 측정한 제거 속도를 비교할 경우, 제거 속도는 인자 6 내지 8.6에 의해 정률 증가하는 것을 나타낸다.
본 발명의 마지막 두 양태에 대해 부연 설명을 하면, 본 발명자들은 과요오드산염 염 과요오드산칼륨(KIO4), 과요오드산리튬(LiH4IO6) 및 웬그(Wang) 등에 의한 1997년 4월 17일자 PCT 출원 WO 97 13,889에 이용된 요오드산칼륨(KIO3)에 대한 연마 속도를 비교하였다. KIO4시스템이 KIO3시스템보다 W에 대한 더 높은 제거 속도를 가짐이 입증되었다; KIO 및 APS가 상승적으로 배합될 경우, W 제거 속도가 향상된다; K 및 Li 과요오드산염은 거의 중성에 가까운 pH 하에서 W를 산화시키는 데 이용되었으며, 따라서, 매우 낮은 pH CMP 시스템과 관련된 침식 문제를 제거할 수 있다. APS와 K 및 Li 과요오드산염과의 혼합에 있어서, Li:K의 높은 비율을 갖는 시스템은 높은 W 제거 속도를 제공한다.
실시예 14
물중의 KIO3에 APS의 첨가는 W 제거 속도를 증가시키고, APS에 첨가된 KIO3의 증가 양은 또한 로지테크 PM5 연마기(33rpm, 12" IC 1000 패드, 2psig), 3" 웨이퍼(10,000Å 스퍼터된 W), 5% 알루미나(10% 알루미나 50부 + 90% 물 슬러리)를 이용하여 pH 범위 5.8 내지 7.8(pH를 0 내지 3부 NaOH로 조절)에 걸쳐서 W 제거 속도를 증가시켰고, 90mL/분의 화학물질 첨가 속도를 갖고, 20mL/분의 슬러리 첨가 속도를 갖는 화학물질과 슬퍼리의 첨가제를 분리하였다.
APS(부/100) KIO(부/100) pH 제거 속도(Å/분)
0 2.0 7.0 193
10 2.0 7.2 246
10 2.0 5.8 208
10 5.0 7.2 339
10 5.0 7.8 350
결론: KIO3에의 APS 첨가는 W 제거 속도를 증가시키고, APS/KIO3/물 시스템과 배합된 pH 증가는 W 제거 속도를 증가시키고, 배합된 시스템에서 KIO3의 농도 증가는 W 제거 속도를 증가시킨다.
실시예 15
상기와 같은 동일한 연마 변수를 갖는 수성 과요오드산칼륨(KIO4) 시스템은 또한 APS와 배합될 경우 상승적 효과를 나타내며, 요오드산칼륨 시스템보다 W에 대한 더 높은 제거 속도를 나타낸다. NaOH(0 내지 3부)를 pH를 조절하는 데에 이용하였다. 작업 조건은 로지테크 PM5 연마기(33rpm, 12", IC 1000 패드, 2psig), 3" 웨이퍼(10,000Å 스퍼터된 W), 5% 알루미나(10% 알루미나 50부 + 90% 물 슬러리)를 이용함을 포함하며, 화학물질 첨가제 속도는 90mL/분이며, 슬러리 첨가 속도는 20mL/분이다:
APS(부/100) KIO4(부/100) pH 제거 속도(Å/분)
0 0.2 7.9 142
10 0.2 7.7 405
10 2.0(과포화 용액) 6.9 637
결론: APS와 KIO4사이의 상승 작용은 중성에 가까운 pH에서 W 제거 속도를 향상시킨다.
실시예 16
Li와 K 과요오드산염의 혼합물은 Li:K의 더 높은 비에 대한 제거 속도를 향상시킴을 나타낸다. 또한, 하기 표에 기록된 pH의 효과가 있으며: pH를 증가시키면서 제거 속도륵 증가시켰다. 연마 변수는 로지테크 PM5 연마기(33rpm, 12", IC 1000 패드, 2psig), 3" 웨이퍼(10,000Å 스퍼터된 W), 1% 알루미나(10% 알루미나 10부 + 90% 물 슬러리), 및 화학물질/슬러리 첨가 속도는 100mL/분이다:
APS(부/100) LiH4IO6(부/100) KIO4(부/100) pH 제거 속도(Å/분)
10 0.4 0.0 7.2 382
10 0.3 0.1 7.2 215
10 0.2 0.2 6.5 175
10 0.1 0.3 6.1 170
결론: 수성 APS 시스템으로의 Li 및/또는 K 과요오드산염의 첨가는 거의 중성에 가까운 pH에서 W 제거 속도를 향상시킨다. 혼합된 Li/K 과요오드산염 + APS 시스템에서, Li:K의 더 높은 비는 거의 중성에 가까운 pH에서 더 높은 W 제거 속도를 제공한다.
실시예 17
10부 APS + 0.4부 Li 과요오드산염을 이용한 텅스텐 제거 속도는 알루미나 슬러리와 배합될 경우, 몇일 동안 안정적이다. 시험의 경로 동안 pH을 조절하지 않았지만, 거의 중성 즉, pH 6.4 내지 7.6을 유지한다. 연마는 로지테크 PM5 연마기(33rpm, 12", IC 1000 패드, 2psig), 3" 웨이퍼(10,000Å 스퍼터된 W), 5% 알루미나(10% 알루미나 50부 + 90% 물 슬러리)를 이용하여 수행되며, 화학물질/슬러리 첨가 속도는 100mL/분이다:
시간(일) 제거 속도(Å/분)
1 208
7 244
15 218
결론: 알루미나 슬러리와 배합될 경우, APS/LiHIO/물 시스템은 2주보다 더 오랫 동안 높고 안정적인 제거 속도를 가지며, 사용할 때 배합시켜야만 하는 아시딕 질산철(Ⅲ)/물 알루미나 시스템보다 더 우수한 저장 수명을 제공한다.
실시예 18
50㎖의 두 개의 비교되는 화학 용액을 각각 마그네틱 교반 막대를 갖춘 600㎖ 비이커에 담았다. 1차 과황산암모늄 용액은 탈이온수중의 과황산암모늄의 114부로 구성되어, pH 3.1인 용액의 총 1000부를 제공한다. 2차 질산철(Ⅲ) 용액은 탈이온수에 용해된 질산철(Ⅲ) 나노하이드레이트의 40부로 구성되어, pH 1.5인 용액의 총 1000부를 제공한다. 상기 용액을 실온에서 규소 물로 하기와 같이 시험하였다:
300 옹스트롬(Å) Ti 금속 부착층 및 3000Å 스퍼터된 Cu를 갖는 3인치 웨이퍼들을 사용하였다. 선택된 시간 간격에서, 웨이퍼 샘플을 제거하고, DI 물로 린스한 후, 니트로젠 가스로 건조시켰다. 통상적인 4 포인트 프로브를 금속 필름 두께를 측정하는 데에 이요하였다. 에칭 속도는 하기와 같다:
알모늄 퍼술페이트 3000Å/분
질산철(Ⅲ) 1287Å/분
가장 낮은 pH(산보다 더 낮은)를 갖는 화학물질 즉, 질산철(Ⅲ) 용액이 Cu를 가장 빨리 에칭한다는 것이 기대되었다.
실시예 19
상기 일련의 시험에서, 다양한 pH 수준에서 히드록실아민 니트레이트의 효과를 3000Å 스퍼터된 Cu 및 300Å Ti 부착층을 갖는 웨이퍼들에 대해 시험하였다. 실시예 1에 사용된 장치를 이용하였다. 용액은 DI 물의 176 중량부에서 82% 하이드록실아민 니트레이트의 24 중량부로 구성되어 있다. pH를 유리 염기로서 적은 양의 히드록실아민으로 조절하였다. 히드록실아민 유리 염기는 상업적으로 이용가능한 수성 용액 15중량%의 20 중량부 및 이온이 제거된 80 중량부로 구성되어 있다. 또한 수성 암모늄 히드록시드 용액 25중량%의 80 중량부 및 탈이온수의 120 중량부로 구성되어 있다.
특정 기간 후에, 웨이퍼를 탈이온수로 린스하고, 질소로 건조시켰다. 그 후, 웨이퍼의 무게를 측정하였다. 분리 블랭크 Ti 웨이퍼를 10중량%의 H2O2용액에서 에칭하여, 각각의 3 인지 웨이퍼에서 Cu의 양을 측정하였다.
수득된 결과는 하기의 표에 나타나 있다.
화학물질 pH 에칭 속도(Å/분)
히드록실아민 니트레이트 3 120
히드록실아민 니트레이트 4 150
히드록실아민 니트레이트 5 600
히드록실아민(유리 염기) 11.7 75
암모늄 히드록시드 12.7 100
Cu 금속이 pH 9 이상에서 무기 및 유기 아민으로 에칭될 것이라는 것은 잘 공지되어 있다. Cu 금속이 매우 낮은 pH(3 미만)에서 에칭될 것이라는 것도 또한 잘 공지되어 있다. 상당한 에칭 속도가 pH 5에서 나타났으므로, 상기 결과는 매우 놀라운 결과이다.
본 발명의 또 다른 양태에서, 양호한 CMP 방법을 제공한 다른 화학은 히드록실아민 니트레이트(HAN) 및 그 밖의 히드록실아민 염을 기본으로 하였다. HAN을 사용하는 일부 실시예를 제외하고는, 하나의 실시예는 HAN과 함께 시트르산의 사용을 조사하였다. 그 밖의 조합은 모노-, 디- 및 트리- 유기산을 포함할 수 있다. 이러한 산의 예는 아세트산, 말론산 및 시트르산을 각각 포함하지만, 이에 국한되지 않는다.
실시예 20
아민(및 암모니아 화합물)은 구리를 연마(에칭)시키기 위해서는 중성 또는 염기성 용액에서 보다 효과적이었다. 일부 암모늄 화합물은 낮은 pH에서 구리를 연마할 때 단지 어느 정도만 성공을 거두었다. 하기 실시예는 히드록실아민 질산염(HAN, 온화한 산화제)이 구리를 효과적으로 연마할 것이라는 것을 나타낸다. 히드록실아민 및 이의 염은 아민이 아니라 무기 및 유기 아민에서 발견된 NH2- 그룹을 함유한다. 히드록실아민의 NH2- 그룹은 아민에서는 발견되지 않으면서 산화-환원전위에 영향을 주는 히드록실(HO- 그룹)에 부착되었다.
다양한 시간 동안 구리 웨이퍼(10,000Å)를 교반된 10% 히드록실아민 니트레이트 용액(87.8부의 물중에서 12.2부의 82% HAN)중에 침지시킴으로써 이들 결과를 결과를 수득하였다. 특정 기간에 웨이퍼를 제거하고, DI 물로 세척하고, 질소로 건조시킨 후 거의 0.1㎎까지 중량을 측정하였다. 더 이상의 중량 손실이 없을 때까지 암모늄 퍼옥시디술페이트(10부의 퍼옥시디술페이트와 90부의 물)를 사용하여 동일한 그룹으로부터 또 다른 웨이퍼를 에칭시켰다. 금속 손실량(Å/분)을 측정하기 위해서 중량비를 사용하는 것이 가능하였다. 동일한 조건하에서 히드록실아민 니트레이트을 10% 수산화암모늄 용액(90부의 물중의 10부의 27% 수산화암모늄)과 비교하였다.
pH 제거 속도(Å/분)
3.1 120
4.0 150
5.0 600
NH4OH 12.7 100
상기 실시예는 히드록실아민 화합물이 구리 금속을 제거하며, 일정한 적정 pH를 가짐을 나타낸다. 암모늄 히드록시드는 아민을 갖는 에칭 구리에 대한 적정 pH 영역을 가짐에도 불구하고, 가장 불량한 에칭 속도를 가졌다.
실시예 21
본 실시예에서는 히드록실아민 니트레이트 화학물질을 비슬러리 연마 시스템에 이용하였다. 3" 구리 웨이퍼상에서 33rpm 및 2psig 압력하에 폴리텍스 펠트 패드(pad)를 갖는 로지테크 PM5 연마 시스템(CMP 모델 실험에 이용되는)을 이용하였다. 5% 화학물질(95.9부 물을 갖는 6.1부 HAN)을 50mL/분의 속도로 연마 테이블에 첨가하였다. 웨이퍼상의 금속 필름 두께를 측정하는데에 이요된 4차원 4 포인튼 프로브로 제거 속도를 측정하였다.
pH 제거 속도(Å/분)
4.2 18
6.0 218
상기 실시예는 HAN 용액을 갖는 pH 효과를 나타낸다. 금속 필름은 매우 밝은 마무리를 갖는다.
실시예 22
본 실시예에서, 3" 구리 웨이퍼상에서 33rpm 및 2psig 압력하의 로지테크 PM5 연마기에 대한 폴텍스 패드를 갖는 2.5% 산화규소 슬러리와 혼합된 10% 히드록실아민 니트레이트 용액(87.8부 물에서 HAN의 12.2부)을 이용하였다. 상기 화학물질을 90㎖/분으로 연마 패드에 첨가하였다. 웨이퍼(wafer)상의 금속 필름 두께를 측정하기 위해 4 차원 4 포인트 프로브에 의해 제거속도를 측정하였다.
pH 제거속도(Å/분)
2.6 1270
4.0 1014
본 실시예는 산화규소 슬러리를 사용하므로써 매우 낮은 pH에 대한 효과적인 연마율과 함께 매우 우수한 구리 제거 속도로 변화시킴을 보여준다. 본 실시예는 또한 HAN 화학물질은 로지테크 모델화 장치에서 슬러리에 효과적임을 보여준다.
실시예 23
본 실시예에서는 상업용 알루미나 슬러리가 여러 화학물질과 함께 사용된다. 3" 구리 웨이퍼상에 2psig 압력으로 33rpm에서의 로지테크 PM5 연마기상의 폴리텍스 패드와 함께 사용된 슬러리 농도는 2.5%였다. 과산화수소 용액을 15부의 35% H2O2용액과 85부의 물을 혼합하여 구성하였다.
pH 제거속도(Å/분)
5% HAN 5 950+
5% HAN 5 950+
5% HAN 6 575+
15% H2O2 4 65
H2O 4.8 44
본 실시예는 HAN에 대한 연마율이 재현될 수 있으며, 구리 CMP에 대한 종래의 과산화수소 화학물질 보다 우수하게 연마함을 보여준다. 물 실험은 구리 연마율이 pH 결과의 것이 아님을 보여준다. HAN으로 연마된 금속 필름은 매우 밝은 광택을 가지나, 과산화수소 연마된 웨이퍼는 흐릿하였으며, 물 연마된 웨이퍼는 무디었다.
실시예 24
또 다른 중요한 특징은 슬러리 및 화학물질이 함께 혼합된 후 저장 수명이 우수하다는 것이다. 최근 과산화수소/슬러리 시스템은 너무 불안정하여 최근 공업에서는 슬러리와 화학물질을 사용 시점에서만 혼합한다. 조기혼합된 과산화수소/슬러리 용액은 사용 수명이 단지 수 시간에 불과하다.
본 실시예에서는 0.5중량%의 히드록실아민 니트레이트 용액(99.4부의 수중에서 0.6부 HNA)을 2.5% 알루미나 슬러리와 혼합하였다. 마스터 배치(master batch)를 형성하고 플라스틱 용기에 저장하였다. 화학물질/슬러리 샘플을 수일 후에 회수하여 연마 실험에 사용하였다. 슬러리의 pH는 22일째 시험중에서 4와 4.1 사이였다. 슬러리 혼합물을 3" 구리 웨이퍼상에 2psig 압력으로 33rpm에서의 로지테크 PM5 연마기상의 폴리텍스 패드와 함께 사용하였다. 화학물질을 50㎖/분으로 연마 패드에 첨가하였다. 웨이퍼상의 금속 필름 두께를 측정하기 위해 4차원 4 포인트 프로브에 의해 제거 속도을 측정하였다.
제거속도(Å/분)
0 637
4 1064
22 558
약 40%까지 증가하는 4일째의 결과를 제외하고, 22일째 결과는 화학물질이 여전히 우수한 연마율을 제공하고 있음을 보여준다. 금속 필름은 매우 밝은 광택을 가졌다.
실시예 25
또 다른 특징은 웨이퍼상의 상이한 재료간의 연마율에 대한 선택성에 있다. 모든 재료(금속 및 둘러싸고 있는 IDL 층)는 동일한 비율로 연마되지 않으며, 이와 다른 경우 특정층에서 중단하는 것이 상이할 수 있다.
하기 실시예는 구리 금속 및 BPSG 막간의 선택성을 보여준다. 본 실시예에서는 0.5중량% 히드록실아민 니트레이트 용액(99.4부의 수중에서 0.6부 HNA)을 2.5% 알루미나 슬러리와 혼합하였다. 슬러리의 pH는 4와 4.4 사이에서 변화하였다. 슬러리 혼합물을 3" 구리 웨이퍼상에 2psig 압력으로 33rpm에서의 로지테크 PM5 연마기상의 폴리텍스 패드와 함께 사용하였다. 웨이퍼상의 금속 필름 두께를 측정하기 위해 4 차원 4 포인트 프로브에 의해 화학물질을 첨가하고, BPSG 막의 두께를 엘립소미터(ellipsometer)에 의해 측정하였다.
구리막 제거 속도은 637Å/분인 반면 BPSG 막의 제거 속도은 단지 37Å/분으로 연마되었다. BPSG에 대한 Cu의 선택성은 17.2였다. 이는 BPSG 층에 도달되는 경우, 이 층은 연마율이 매우 느리기 때문에 연마 과정이 "정지"될 것임을 의미한다.
본 발명의 또 다른 일면에서는 구리 연마의 또 다른 방법으로 킬레이트화제(다작용가 유기산)과 컨쥬게이트 히드록실아민 염과 조합하여 사용한다.
실시예 26
본 실시예에서는 시트르산 용액(히드록실아민으로 pH가 4.2 내지 4.4로 조절된 8.8부의 시트르산, 나머지는 물)을 다양한 농도의 히드록실아민(HDA)와 혼합하여 pH가 중성에 가까운 용액을 제조하였다. 이들 화학물질을 슬러리 연마 시스템에서 사용하였다. 로지테크 PM 5 연마 시스템을 3" 구리 웨이퍼상에 2psig 압력으로 33rpm에서의 로지테크 PM5 연마기상의 폴리텍스 펠트 패드와 함께 사용하였다. 화학물질을 20 내지 90㎖/분으로 연마 테이블에 첨가하였다. 웨이퍼상의 금속 필름 두께를 측정하기 위해 4차원 4 포인트 프로브에 의해 제거 속도을 측정하였다.
시트르산 용액의 부 HDA의 부 pH 제거속도(Å/분)
100 0 4.2 58
95 5 6.6 64
90 10 6.8 954
80 20 7.0 1100
본 실시예는 pH가 0.4pH 범위를 초과하여 변화된다고 할지라도(HDA 염 용액), 시트르산의 히드록실아민 염의 증가와 관련된 구리 에칭율이 상당히 증가하였음을 보여준다.
본 발명은 이제 완전하게 기술되었으며, 당업자들에게는 본 발명의 내용 또는 범주를 벗어나지 않고 많은 변화와 변경이 이루어질 수 있음이 자명할 것이다.

Claims (30)

  1. 슬러리; 금속 및 유전 물질을 시차 제거하기에 충분한 양의 선택적 산화 및 환원 화합물; 및 선택적 산화 및 환원 화합물이 금속 및 유전 물질을 시차 제거하도록 하는 pH가 되도록 조성물의 pH를 조절하는 pH 조절 화합물을 포함하는 화학 기계적 연마용 조성물.
  2. 제 1항에 있어서, 선택적 산화 및 환원 화합물이 히드록실아민 또는 히드록실아민 염을 포함하는 조성물.
  3. 제 2항에 있어서, 암모늄 퍼옥시 화합물을 추가로 포함하는 조성물.
  4. 제 3항에 있어서, 암모늄 퍼옥시 화합물이 암모늄 퍼옥시디술페이트인 조성물.
  5. 화학 기계적 연마 유효량의 히드록실아민 화합물을 포함하는 화학 기계적 연마용 조성물.
  6. 제 5항에 있어서, 히드록실아민 화합물이 히드록실아민 또는 히드록실아민 염을 포함하는 화학 기계적 연마용 조성물.
  7. 제 6항에 있어서, 히드록실아민 염이 히드록실아민 니트레이트를 포함하는 화학 기계적 연마용 조성물.
  8. 제 5항에 있어서, 킬레이트화제를 추가로 포함하는 화학 기계적 연마용 조성물.
  9. 제 8항에 있어서, 킬레이트화제가 알킬 베타-디케톤, 알킬렌 테트라아세트산, 방향족 페놀성 알데히드, 비스(히드록시프로필)히드록실아민, 아니스알데히드, 알파 히드록시 이소부티르산, 방향족 이산소첨가 화합물, 카테콜 화합물 또는 갈산 화합물인 화학 기계적 연마용 조성물.
  10. 제 5항에 있어서, 비이온성, 양이온성 또는 음이온성 계면활성제를 추가로 포함하는 화학 기계적 연마용 조성물.
  11. 제 10항에 있어서, 계면활성제가 옥틸페닐 폴리에틸렌인 화학 기계적 연마용 조성물.
  12. 제 5항에 있어서, 이플루오르화암모늄을 추가로 포함하는 화학 기계적 연마용 조성물.
  13. 제 5항에 있어서, 고분자전해질을 추가로 포함하는 화학 기계적 연마용 조성물.
  14. 제 5항에 있어서, 과산화수소를 추가로 포함하는 화학 기계적 연마용 조성물.
  15. 화학 기계적 연마 유효량의 과황산암모늄을 포함하는 화학 기계적 연마용 조성물.
  16. 제 15항에 있어서, 유기산을 포함하는 화학 기계적 연마용 조성물.
  17. 제 15항에 있어서, 유기산이 말론산, 숙신산, 타르타르산, 시트르산 또는 옥살산인 화학 기계적 연마용 조성물.
  18. 제 15항에 있어서, 산화 화합물을 추가로 포함하는 화학 기계적 연마용 조성물.
  19. 제 18항에 있어서, 산화 화합물이 퍼옥시모노술프르산, 칼륨 퍼옥소모노술페이트, 퍼옥시모노술페이트 또는 말론아미드를 포함하는 화학 기계적 연마용 조성물.
  20. 제 15항에 있어서, 과요오드산칼륨, 과요오드산리튬, 요오드산칼륨 또는 과요오드산을 추가로 포함하는 화학 기계적 연마용 조성물.
  21. 화학 기계적 연마용 조성물에 있어서, 화학 기계적 연마 유효량으로 과산화수소의 간접 공급원인 화합물을 포함하는 화학 기계적 연마용 조성물.
  22. 제 21항에 있어서, 과산화수소의 간접 공급원이 퍼보레이트, 퍼옥시하이드레이트, 요소 과산화수소 착물인 화학 기계적 연마용 조성물.
  23. 화학 기계적 연마 조성물에 있어서, 화학 기계적 연마 유효량의 과아세트산을 포함하는 화학 기계적 연마용 조성물.
  24. 화학 기계적 연마 조성물에 있어서, 화학 기계적 연마 유효량의 과요오드산을 포함하는 화학 기계적 연마용 조성물.
  25. 슬러리를 금속과 유전 물질에 가하여 금속과 유전 물질을 기계적으로 제거하는 단계, 선택적 산화 및 환원 화합물을 가하여 금속과 유전 물질을 시차 제거하는 단계, 및 슬러리와 선택적 산화 및 환원 화합물의 pH를 조절하여 금속과 유전 물질을 시차 제거하는 단계를 포함하는 화학 기계적 연마 방법.
  26. 슬러리를 금속과 유전 물질의 표면에 가하여 금속과 유전 물질을 기계적으로 제거하는 단계, 및 화학 기계적 연마 유효량의 히드록실아민 화합물을 가하는 단계를 포함함을 특징으로 하는 화학 기계적 연마 방법.
  27. 슬러리를 금속과 유전 물질의 표면에 가하여 금속과 유전 물질을 기계적으로 제거하는 단계, 및 화학 기계적 연마 유효량의 과황산암모늄을 가하는 단계를 포함함하는 화학 기계적 연마 방법.
  28. 슬러리를 금속과 유전 물질의 표면에 가하여 금속과 유전 물질을 기계적으로 제거하는 단계, 및 화학 기계적 연마 유효량으로 과산화수소의 간접 공급원인 화합물을 가하는 단계를 포함하는 화학 기계적 연마 방법.
  29. 슬러리를 금속과 유전 물질의 표면에 가하여 금속과 유전 물질을 기계적으로 제거하는 단계, 및 화학 기계적 연마 유효량의 과아세트산을 가하는 단계를 포함하는 화학 기계적 연마 방법.
  30. 슬러리를 금속과 유전 물질의 표면에 가하여 금속과 유전 물질을 기계적으로 제거하는 단계, 및 화학 기계적 연마 유효량의 과요오드산을 가하는 단계를 포함함을 특징으로 하는 화학 기계적 연마 방법.
KR1019980702220A 1996-07-25 1997-07-21 화학기계적연마용조성물및화학기계적연마방법 KR100302671B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US2329996P 1996-07-25 1996-07-25
US60/023,299 1996-07-26
PCT/US1997/012220 WO1998004646A1 (en) 1996-07-25 1997-07-21 Chemical mechanical polishing composition and process

Publications (2)

Publication Number Publication Date
KR19990063753A true KR19990063753A (ko) 1999-07-26
KR100302671B1 KR100302671B1 (ko) 2001-09-22

Family

ID=21814282

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980702220A KR100302671B1 (ko) 1996-07-25 1997-07-21 화학기계적연마용조성물및화학기계적연마방법

Country Status (9)

Country Link
US (6) US6117783A (ko)
EP (1) EP0852615B1 (ko)
JP (1) JP4202424B2 (ko)
KR (1) KR100302671B1 (ko)
AT (1) ATE312895T1 (ko)
AU (1) AU3661997A (ko)
DE (1) DE69734868T2 (ko)
TW (1) TW353783B (ko)
WO (1) WO1998004646A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100850085B1 (ko) * 2006-12-28 2008-08-04 동부일렉트로닉스 주식회사 Cmp 공정용 슬러리의 희석방법
KR100869044B1 (ko) * 2000-08-30 2008-11-17 마이크론 테크놀로지 인코포레이티드 구리 및 텅스텐을 포함하는 반도체 장치 도전성 구조체들의폴리싱에 있어서 고정 연마제 폴리싱 패드들과 함께사용하기 위한 슬러리 및 폴리싱 방법들
US11286403B2 (en) 2018-07-20 2022-03-29 Dongjin Semichem Co., Ltd Chemical mechanical polishing composition, chemical mechanical polishing slurry and method for polishing substrate

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
JP2008277848A (ja) * 1996-07-26 2008-11-13 Ekc Technol Inc 化学機械研磨組成物及び化学機械研磨方法
US6602439B1 (en) 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
US20040229468A1 (en) * 1997-10-31 2004-11-18 Seiichi Kondo Polishing method
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
KR100610387B1 (ko) * 1998-05-18 2006-08-09 말린크로트 베이커, 인코포레이티드 초소형 전자 기판 세정용 실리케이트 함유 알칼리성 조성물
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
KR100491465B1 (ko) 1998-08-31 2005-05-25 히다치 가세고교 가부시끼가이샤 금속용 연마액 및 연마 방법
US6468909B1 (en) * 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
JP4113288B2 (ja) * 1998-09-04 2008-07-09 スピードファム株式会社 研磨用組成物およびそれを用いたシリコンウェーハの加工方法
JP2000080350A (ja) 1998-09-07 2000-03-21 Speedfam-Ipec Co Ltd 研磨用組成物及びそれによるポリッシング加工方法
US6635562B2 (en) * 1998-09-15 2003-10-21 Micron Technology, Inc. Methods and solutions for cleaning polished aluminum-containing layers
US6572449B2 (en) * 1998-10-06 2003-06-03 Rodel Holdings, Inc. Dewatered CMP polishing compositions and methods for using same
JP4240424B2 (ja) * 1998-10-23 2009-03-18 エルジー ディスプレイ カンパニー リミテッド エッチング剤及びこれを用いた電子機器用基板の製造方法
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
SG73683A1 (en) * 1998-11-24 2000-06-20 Texas Instruments Inc Stabilized slurry compositions
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6235636B1 (en) * 1999-04-20 2001-05-22 Advanced Micro Devices, Inc. Resist removal by polishing
US6251150B1 (en) 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
DE19927286B4 (de) * 1999-06-15 2011-07-28 Qimonda AG, 81739 Verwendung einer Schleiflösung zum chemisch-mechanischen Polieren einer Edelmetall-Oberfläche
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
AT409429B (de) * 1999-07-15 2002-08-26 Sez Semiconduct Equip Zubehoer Verfahren zum ätzbehandeln von halbleitersubstraten zwecks freilegen einer metallschicht
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
JP4264781B2 (ja) * 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
JP3307375B2 (ja) * 1999-10-04 2002-07-24 日本電気株式会社 半導体装置の製造方法
US6436830B1 (en) 1999-10-06 2002-08-20 Agere Systems Guardian Corp. CMP system for polishing semiconductor wafers and related method
US6458289B1 (en) * 1999-10-06 2002-10-01 Agere Systems Guardian Corp. CMP slurry for polishing semiconductor wafers and related methods
US6347978B1 (en) * 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6380069B1 (en) * 2000-01-14 2002-04-30 United Microelectronics Corp. Method of removing micro-scratch on metal layer
JP3563342B2 (ja) * 2000-11-02 2004-09-08 Necエレクトロニクス株式会社 Cmp方法および装置、回路形成方法およびシステム、集積回路装置
US6332831B1 (en) * 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US6328774B1 (en) * 2000-02-23 2001-12-11 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
JP2001261325A (ja) * 2000-03-24 2001-09-26 Gc Corp 無機フィラーの洗浄方法及び処理方法
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6858540B2 (en) * 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
TW471057B (en) * 2000-06-09 2002-01-01 Macronix Int Co Ltd Method for reducing dishing effect during chemical mechanical polishing
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
WO2002023613A2 (en) * 2000-09-15 2002-03-21 Rodel Holdings, Inc. Metal cmp process with reduced dishing
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6413869B1 (en) * 2000-11-06 2002-07-02 Advanced Micro Devices, Inc. Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
JP3816743B2 (ja) 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6896776B2 (en) 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7232514B2 (en) 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US20070290166A1 (en) * 2001-03-14 2007-12-20 Liu Feng Q Method and composition for polishing a substrate
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US6436778B1 (en) * 2001-06-12 2002-08-20 Advanced Micro Devices, Inc. Re-oxidation approach to improve peripheral gate oxide integrity in a tunnel nitride oxidation process
US6589099B2 (en) 2001-07-09 2003-07-08 Motorola, Inc. Method for chemical mechanical polishing (CMP) with altering the concentration of oxidizing agent in slurry
US7008554B2 (en) 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6821881B2 (en) 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
JP4954398B2 (ja) 2001-08-09 2012-06-13 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6511906B1 (en) * 2001-08-30 2003-01-28 Micron Technology, Inc. Selective CMP scheme
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
US6866792B2 (en) 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
KR100442962B1 (ko) * 2001-12-26 2004-08-04 주식회사 하이닉스반도체 반도체소자의 금속배선 콘택플러그 형성방법
US6514865B1 (en) * 2002-01-11 2003-02-04 Advanced Micro Devices, Inc. Method of reducing interlayer dielectric thickness variation feeding into a planarization process
JP2003218084A (ja) * 2002-01-24 2003-07-31 Nec Electronics Corp 除去液、半導体基板の洗浄方法および半導体装置の製造方法
US7132058B2 (en) 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6548409B1 (en) * 2002-02-19 2003-04-15 Silicon Integrated Systems Corp. Method of reducing micro-scratches during tungsten CMP
JP2005518670A (ja) * 2002-02-26 2005-06-23 アプライド マテリアルズ インコーポレイテッド 基板を研磨するための方法及び組成物
EP1489650B1 (en) 2002-03-04 2010-07-14 Fujimi Incorporated Polishing composition and method for forming wiring structure
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6641630B1 (en) 2002-06-06 2003-11-04 Cabot Microelectronics Corp. CMP compositions containing iodine and an iodine vapor-trapping agent
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6825120B1 (en) 2002-06-21 2004-11-30 Taiwan Semiconductor Manufacturing Company Metal surface and film protection method to prolong Q-time after metal deposition
US20030235989A1 (en) * 2002-06-25 2003-12-25 Seagate Technology Llc Process for CMP assisted liftoff
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
KR100546133B1 (ko) * 2002-07-19 2006-01-24 주식회사 하이닉스반도체 반도체소자의 형성방법
JP4010903B2 (ja) * 2002-08-02 2007-11-21 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US6905974B2 (en) 2002-08-08 2005-06-14 Micron Technology, Inc. Methods using a peroxide-generating compound to remove group VIII metal-containing residue
US7077975B2 (en) 2002-08-08 2006-07-18 Micron Technology, Inc. Methods and compositions for removing group VIII metal-containing materials from surfaces
JP4083528B2 (ja) * 2002-10-01 2008-04-30 株式会社フジミインコーポレーテッド 研磨用組成物
US7037174B2 (en) * 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
DE10246756B4 (de) * 2002-10-07 2006-03-16 Novar Gmbh Branderkennungsverfahren und Brandmelder zu dessen Durchführung
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US6803353B2 (en) * 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents
US6911393B2 (en) * 2002-12-02 2005-06-28 Arkema Inc. Composition and method for copper chemical mechanical planarization
US7300601B2 (en) * 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
EP1596857B1 (en) * 2003-02-27 2008-10-29 Wisconsin Alumni Research Foundation Pmcol for the treatment of prostate cancer
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US6818142B2 (en) * 2003-03-31 2004-11-16 E. I. Du Pont De Nemours And Company Potassium hydrogen peroxymonosulfate solutions
US7964005B2 (en) * 2003-04-10 2011-06-21 Technion Research & Development Foundation Ltd. Copper CMP slurry composition
US20040232379A1 (en) * 2003-05-20 2004-11-25 Ameen Joseph G. Multi-oxidizer-based slurry for nickel hard disk planarization
US7390429B2 (en) 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20040259366A1 (en) * 2003-06-20 2004-12-23 Kim Seong Han Method and composition for the chemical-vibrational-mechanical planarization of copper
US7037350B2 (en) * 2003-07-14 2006-05-02 Da Nanomaterials L.L.C. Composition for chemical-mechanical polishing and method of using same
US6830504B1 (en) 2003-07-25 2004-12-14 Taiwan Semiconductor Manufacturing Company Barrier-slurry-free copper CMP process
US20050045852A1 (en) * 2003-08-29 2005-03-03 Ameen Joseph G. Particle-free polishing fluid for nickel-based coating planarization
US7056648B2 (en) * 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
US6936540B2 (en) * 2003-09-18 2005-08-30 Micron Technology, Inc. Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7288021B2 (en) * 2004-01-07 2007-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing of metals in an oxidized form
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
JP2005244123A (ja) * 2004-02-27 2005-09-08 Fujimi Inc 研磨用組成物
US7287314B2 (en) * 2004-02-27 2007-10-30 Hitachi Global Storage Technologies Netherlands B.V. One step copper damascene CMP process and slurry
KR100582771B1 (ko) * 2004-03-29 2006-05-22 한화석유화학 주식회사 반도체 얕은 트렌치 소자 분리 공정용 화학적 기계적 연마슬러리
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7199045B2 (en) * 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US20050263407A1 (en) * 2004-05-28 2005-12-01 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
US7582127B2 (en) * 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7247567B2 (en) * 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US20060071196A1 (en) * 2004-09-27 2006-04-06 Mckee Clayton R Method of wood treatment and solution provided therefore
US7524347B2 (en) * 2004-10-28 2009-04-28 Cabot Microelectronics Corporation CMP composition comprising surfactant
JP4808394B2 (ja) * 2004-10-29 2011-11-02 株式会社フジミインコーポレーテッド 研磨用組成物
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US20060191871A1 (en) * 2005-02-25 2006-08-31 Sheng-Yu Chen Cmp slurry delivery system and method of mixing slurry thereof
US7081041B1 (en) 2005-02-28 2006-07-25 Hitachi Global Storage Technologies Netherlands B.V. Manufacturing method for forming a write head top pole using chemical mechanical polishing with a DLC stop layer
US20060223320A1 (en) * 2005-03-30 2006-10-05 Cooper Kevin E Polishing technique to minimize abrasive removal of material and composition therefor
KR20070012209A (ko) * 2005-07-21 2007-01-25 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물 및 연마 방법
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
US20070068087A1 (en) * 2005-09-26 2007-03-29 Cabot Microelectronics Corporation Metal cations for initiating polishing
US7879782B2 (en) * 2005-10-13 2011-02-01 Air Products And Chemicals, Inc. Aqueous cleaning composition and method for using same
EP1943320B1 (en) * 2005-10-25 2009-04-15 Freescale Semiconductor, Inc. Method for testing a slurry used to form a semiconductor device
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
US7362116B1 (en) * 2005-11-09 2008-04-22 Electroglas, Inc. Method for probing impact sensitive and thin layered substrate
US20070151866A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Substrate polishing with surface pretreatment
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
US20070218677A1 (en) * 2006-03-15 2007-09-20 Manfred Engelhardt Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines
US7820067B2 (en) * 2006-03-23 2010-10-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US8591763B2 (en) * 2006-03-23 2013-11-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
CN101584028A (zh) * 2006-04-26 2009-11-18 Nxp股份有限公司 制造半导体器件的方法、由此获得的半导体器件和适合该方法中使用的浆料
WO2007146680A1 (en) * 2006-06-06 2007-12-21 Florida State University Research Foundation , Inc. Stabilized silica colloid
WO2008004579A1 (fr) * 2006-07-05 2008-01-10 Hitachi Chemical Co., Ltd. Liquide de polissage pour cmp et procédé de polissage
JP2008135452A (ja) * 2006-11-27 2008-06-12 Fujimi Inc 研磨用組成物及び研磨方法
JP2008135453A (ja) * 2006-11-27 2008-06-12 Fujimi Inc 研磨用組成物及び研磨方法
US7842614B2 (en) * 2007-01-04 2010-11-30 Fujitsu Limited Method for manufacturing semiconductor device and polisher used in the method for manufacturing semiconductor device
US20080173904A1 (en) * 2007-01-22 2008-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS image sensors with a bonding pad and methods of forming the same
TW200916564A (en) * 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US20090056231A1 (en) * 2007-08-28 2009-03-05 Daniela White Copper CMP composition containing ionic polyelectrolyte and method
JP2009088486A (ja) * 2007-08-29 2009-04-23 Applied Materials Inc 高スループット低形状銅cmp処理
US7915071B2 (en) * 2007-08-30 2011-03-29 Dupont Air Products Nanomaterials, Llc Method for chemical mechanical planarization of chalcogenide materials
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
US8143166B2 (en) * 2008-03-11 2012-03-27 Globalfoundries Singapore Pte. Ltd. Polishing method with inert gas injection
US20100081279A1 (en) * 2008-09-30 2010-04-01 Dupont Air Products Nanomaterials Llc Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
US8506831B2 (en) 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
TWI480360B (zh) * 2009-04-03 2015-04-11 Du Pont 蝕刻劑組成物及方法
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US8916473B2 (en) 2009-12-14 2014-12-23 Air Products And Chemicals, Inc. Method for forming through-base wafer vias for fabrication of stacked devices
JP5141792B2 (ja) * 2010-06-29 2013-02-13 日立化成工業株式会社 Cmp研磨液及び研磨方法
US8546016B2 (en) * 2011-01-07 2013-10-01 Micron Technology, Inc. Solutions for cleaning semiconductor structures and related methods
WO2012133561A1 (ja) 2011-03-30 2012-10-04 株式会社 フジミインコーポレーテッド 研磨用組成物および研磨方法
JP6035346B2 (ja) * 2011-12-21 2016-11-30 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 半導体装置の製造方法及びcmp組成物の使用方法
US20130241075A1 (en) * 2012-03-13 2013-09-19 Macronix International Co., Ltd. Contact or via critical dimension control with novel closed loop control system in chemical mechanical planarization process
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9196283B1 (en) 2013-03-13 2015-11-24 Western Digital (Fremont), Llc Method for providing a magnetic recording transducer using a chemical buffer
KR101409889B1 (ko) * 2013-12-27 2014-06-19 유비머트리얼즈주식회사 연마 슬러리 및 이를 이용한 기판 연마 방법
WO2015200679A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Tungsten chemical-mechanical polishing composition
WO2015200684A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Copper barrier chemical-mechanical polishing composition
WO2015200660A1 (en) * 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Colloidal silica chemical-mechanical polishing composition
JP6788988B2 (ja) * 2016-03-31 2020-11-25 株式会社フジミインコーポレーテッド 研磨用組成物
KR102316563B1 (ko) * 2017-05-22 2021-10-25 엘지디스플레이 주식회사 금속으로 형성된 상부 기판을 포함하는 유기 발광 표시 장치 및 이의 제조 방법

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1190293B (de) * 1958-04-28 1965-04-01 Hans Becker Putz-, Reinigungs- und Poliermittel sowie Reinigungsbaeder fuer Edelmetalloberflaechen
NL268606A (ko) * 1960-09-12
US3420802A (en) * 1965-04-21 1969-01-07 Monsanto Co Novel polyesteramides and the preparation thereof
US3385682A (en) * 1965-04-29 1968-05-28 Sprague Electric Co Method and reagent for surface polishing
US3410802A (en) * 1966-02-21 1968-11-12 Fmc Corp Process and composition for etching of copper metal
DE1621510A1 (de) * 1967-03-23 1971-04-29 Siemens Ag Loesungsmittelgemisch mit Salpetersaeure und Flusssaeure zum nasschemischen AEtzen von Silizium
US3668131A (en) * 1968-08-09 1972-06-06 Allied Chem Dissolution of metal with acidified hydrogen peroxide solutions
JPS5281692A (en) * 1975-12-28 1977-07-08 Fujimi Kenmazai Kougiyou Kk Method of grinding general metallic materials and composites for grinding
US4002487A (en) * 1976-05-13 1977-01-11 Imc Chemical Group Process for bleaching kaolin
UST105402I4 (en) * 1983-03-10 1985-05-07 Method for polishing amorphous aluminum oxide
US4556449A (en) * 1984-10-15 1985-12-03 Psi Star Nickel etching process and solution
FR2582675B1 (fr) * 1985-06-03 1992-10-02 Solvay Bains et procedes pour le polissage chimique de surfaces en acier inoxydable
US4724042A (en) * 1986-11-24 1988-02-09 Sherman Peter G Dry granular composition for, and method of, polishing ferrous components
JP2868885B2 (ja) * 1989-11-09 1999-03-10 新日本製鐵株式会社 シリコンウェハの研磨液及び研磨方法
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
CZ278402B6 (en) * 1991-10-14 1993-12-15 Vysoka Skola Chem Tech Process of treating picking and polishing baths based on nitric acid
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
JP3048207B2 (ja) * 1992-07-09 2000-06-05 イー.ケー.シー.テクノロジー.インコーポレイテッド 還元及び酸化電位を有する求核アミン化合物を含む洗浄剤組成物およびこれを使用した基板の洗浄方法
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5575837A (en) * 1993-04-28 1996-11-19 Fujimi Incorporated Polishing composition
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5653623A (en) * 1993-12-14 1997-08-05 Ebara Corporation Polishing apparatus with improved exhaust
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
DE19525521B4 (de) * 1994-07-15 2007-04-26 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Verfahren zum Reinigen von Substraten
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5726099A (en) * 1995-11-07 1998-03-10 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium persulfate slurry
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5773364A (en) 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
JPH10290007A (ja) * 1997-04-14 1998-10-27 Sharp Corp 半導体装置およびその製造方法
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6347978B1 (en) * 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6293848B1 (en) * 1999-11-15 2001-09-25 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100869044B1 (ko) * 2000-08-30 2008-11-17 마이크론 테크놀로지 인코포레이티드 구리 및 텅스텐을 포함하는 반도체 장치 도전성 구조체들의폴리싱에 있어서 고정 연마제 폴리싱 패드들과 함께사용하기 위한 슬러리 및 폴리싱 방법들
KR100850085B1 (ko) * 2006-12-28 2008-08-04 동부일렉트로닉스 주식회사 Cmp 공정용 슬러리의 희석방법
US11286403B2 (en) 2018-07-20 2022-03-29 Dongjin Semichem Co., Ltd Chemical mechanical polishing composition, chemical mechanical polishing slurry and method for polishing substrate

Also Published As

Publication number Publication date
US20030176068A1 (en) 2003-09-18
DE69734868D1 (de) 2006-01-19
ATE312895T1 (de) 2005-12-15
KR100302671B1 (ko) 2001-09-22
US6635186B1 (en) 2003-10-21
WO1998004646A1 (en) 1998-02-05
EP0852615A1 (en) 1998-07-15
EP0852615B1 (en) 2005-12-14
EP0852615A4 (en) 2002-09-04
US20040072439A1 (en) 2004-04-15
TW353783B (en) 1999-03-01
JP4202424B2 (ja) 2008-12-24
JP2000501771A (ja) 2000-02-15
US20050266689A1 (en) 2005-12-01
US7033942B2 (en) 2006-04-25
US6313039B1 (en) 2001-11-06
US7314823B2 (en) 2008-01-01
US7276180B2 (en) 2007-10-02
AU3661997A (en) 1998-02-20
US6117783A (en) 2000-09-12
DE69734868T2 (de) 2006-08-03

Similar Documents

Publication Publication Date Title
KR19990063753A (ko) 화학 기계적 연마용 조성물 및 화학 기계적 연마 방법
US20040134873A1 (en) Abrasive-free chemical mechanical polishing composition and polishing process containing same
EP0896042B1 (en) A polishing composition including an inhibitor of tungsten etching
KR100825216B1 (ko) 바로 사용 가능한 안정한 화학 기계적 연마 슬러리
US6348076B1 (en) Slurry for mechanical polishing (CMP) of metals and use thereof
KR101069472B1 (ko) 칼코게나이드 물질의 화학 기계적 평탄화 방법
KR101144419B1 (ko) 금속-함유 기판의 화학 기계적 평탄화를 위한 방법 및 조성물
US20020019128A1 (en) Slurry for chemical mechanical polishing of metal layer, method of preparing the slurry, and metallization method using the slurry
CN101085901A (zh) 用于铜膜平面化的钝化化学机械抛光组合物
KR19980042755A (ko) 금속의 화학 기계적 연마에 유용한 조성물 및 슬러리
JP2012119697A (ja) 金属用研磨液及び被研磨膜の研磨方法
US6858124B2 (en) Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
JP2005136388A (ja) バリア研磨液
CN101240147A (zh) 用于铜的化学机械平坦化的组合物
JP2008277848A (ja) 化学機械研磨組成物及び化学機械研磨方法
US20040140288A1 (en) Wet etch of titanium-tungsten film
KR20030092605A (ko) 금속 cmp용 연마 슬러리 조성물
KR20060023917A (ko) 구리 연마용 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100630

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee