HK1050957A1 - Removal of photoresist and residue from substrate using supercritical carbon dioxide process. - Google Patents

Removal of photoresist and residue from substrate using supercritical carbon dioxide process.

Info

Publication number
HK1050957A1
HK1050957A1 HK03103058A HK03103058A HK1050957A1 HK 1050957 A1 HK1050957 A1 HK 1050957A1 HK 03103058 A HK03103058 A HK 03103058A HK 03103058 A HK03103058 A HK 03103058A HK 1050957 A1 HK1050957 A1 HK 1050957A1
Authority
HK
Hong Kong
Prior art keywords
photoresist
residue
removal
substrate
carbon dioxide
Prior art date
Application number
HK03103058A
Other languages
English (en)
Inventor
William H Mullee
Maximilian A Biberger
Paul E Schilling
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of HK1050957A1 publication Critical patent/HK1050957A1/xx

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
HK03103058A 1999-11-02 2003-04-29 Removal of photoresist and residue from substrate using supercritical carbon dioxide process. HK1050957A1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16311699P 1999-11-02 1999-11-02
US16312099P 1999-11-02 1999-11-02
US19966100P 2000-04-25 2000-04-25
US09/697,227 US6500605B1 (en) 1997-05-27 2000-10-25 Removal of photoresist and residue from substrate using supercritical carbon dioxide process
PCT/US2000/030218 WO2001033613A2 (en) 1999-11-02 2000-11-01 Removal of photoresist and residue from substrate using supercritical carbon dioxide process

Publications (1)

Publication Number Publication Date
HK1050957A1 true HK1050957A1 (en) 2003-07-11

Family

ID=27496540

Family Applications (1)

Application Number Title Priority Date Filing Date
HK03103058A HK1050957A1 (en) 1999-11-02 2003-04-29 Removal of photoresist and residue from substrate using supercritical carbon dioxide process.

Country Status (10)

Country Link
US (1) US6500605B1 (xx)
EP (1) EP1226603A2 (xx)
JP (1) JP3771496B2 (xx)
KR (1) KR100525855B1 (xx)
CN (1) CN1171288C (xx)
AU (1) AU1455001A (xx)
CA (1) CA2387334A1 (xx)
HK (1) HK1050957A1 (xx)
MX (1) MXPA02004039A (xx)
WO (1) WO2001033613A2 (xx)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6815359B2 (en) * 2001-03-28 2004-11-09 Advanced Micro Devices, Inc. Process for improving the etch stability of ultra-thin photoresist
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6946055B2 (en) 2001-08-22 2005-09-20 International Business Machines Corporation Method for recovering an organic solvent from a waste stream containing supercritical CO2
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US6737225B2 (en) * 2001-12-28 2004-05-18 Texas Instruments Incorporated Method of undercutting micro-mechanical device with super-critical carbon dioxide
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) * 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US20050227183A1 (en) * 2002-01-11 2005-10-13 Mark Wagner Compositions and methods for image development of conventional chemically amplified photoresists
JP2003224099A (ja) * 2002-01-30 2003-08-08 Sony Corp 表面処理方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP4246640B2 (ja) * 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
WO2003087936A1 (en) * 2002-04-12 2003-10-23 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20030217764A1 (en) 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6800142B1 (en) 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
US20080000505A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
KR100862988B1 (ko) * 2002-09-30 2008-10-13 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6943139B2 (en) * 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7485611B2 (en) * 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
JP2004158534A (ja) * 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US6919167B2 (en) * 2002-11-14 2005-07-19 Micell Technologies Positive tone lithography in carbon dioxide solvents
US6683008B1 (en) * 2002-11-19 2004-01-27 International Business Machines Corporation Process of removing ion-implanted photoresist from a workpiece
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
JP2004249189A (ja) * 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
DE102004029077B4 (de) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
US20050006310A1 (en) * 2003-07-10 2005-01-13 Rajat Agrawal Purification and recovery of fluids in processing applications
US20050029492A1 (en) * 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
JP4173781B2 (ja) * 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US20050039775A1 (en) * 2003-08-19 2005-02-24 Whitlock Walter H. Process and system for cleaning surfaces of semiconductor wafers
EP1689825A4 (en) * 2003-12-01 2008-09-24 Advanced Tech Materials REMOVAL OF SACRIFICIAL LAYERS ON MICROELECTROMECHANICAL SYSTEMS USING SUPERCRITICAL FLUID OR CHEMICAL FORMULATIONS
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
KR100534103B1 (ko) * 2004-01-14 2005-12-06 삼성전자주식회사 초임계 유체를 이용한 미세 전자소자의 제조 방법
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US7553803B2 (en) * 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050261150A1 (en) * 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7195676B2 (en) 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
US7304000B2 (en) * 2004-08-19 2007-12-04 International Business Machines Corporation Photoresist trimming process
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
WO2006081534A1 (en) * 2005-01-28 2006-08-03 Micell Technologies, Inc. Compositions and methods for image development of conventional chemically amplified photoresists
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
WO2006107517A2 (en) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Composition for cleaning ion implanted photoresist in front end of line applications
US7407554B2 (en) * 2005-04-12 2008-08-05 International Business Machines Corporation Development or removal of block copolymer or PMMA-b-S-based resist using polar supercritical solvent
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20070120609A (ko) * 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
KR20070121845A (ko) * 2005-04-15 2007-12-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
WO2006132008A1 (ja) * 2005-06-07 2006-12-14 Toagosei Co., Ltd. 有機被膜剥離剤、該剥離剤を用いた有機被膜の除去方法および除去装置
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US7361231B2 (en) * 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP4963815B2 (ja) * 2005-09-07 2012-06-27 ソニー株式会社 洗浄方法および半導体装置の製造方法
US7332449B2 (en) * 2005-09-30 2008-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming dual damascenes with supercritical fluid treatments
JP4610469B2 (ja) * 2005-11-08 2011-01-12 株式会社トクヤマ 洗浄除去剤
JP2007149866A (ja) * 2005-11-25 2007-06-14 Elpida Memory Inc 半導体シリコン基板の製造方法およびその製造装置
JP2007221096A (ja) * 2006-01-23 2007-08-30 Ryusyo Industrial Co Ltd リフトオフ加工方法およびリフトオフ加工装置
SG182207A1 (en) 2006-04-05 2012-07-30 Asahi Glass Co Ltd Method for washing device substrate
US20080083427A1 (en) * 2006-10-09 2008-04-10 Semitool, Inc. Post etch residue removal from substrates
KR100807234B1 (ko) * 2006-11-16 2008-02-28 삼성전자주식회사 포토레지스트 제거방법 및 반도체 소자의 제조 방법
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
JP2009014938A (ja) * 2007-07-03 2009-01-22 Toagosei Co Ltd レジスト剥離剤組成物
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US20110117752A1 (en) * 2009-11-18 2011-05-19 Kwon Taek Lim Method and system for etching a silicon dioxide film using densified carbon dioxide
JP5450494B2 (ja) * 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
CN103972055B (zh) * 2013-01-31 2016-09-07 中微半导体设备(上海)有限公司 光刻胶去除方法
US9017934B2 (en) * 2013-03-08 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist defect reduction system and method
KR102411946B1 (ko) 2015-07-08 2022-06-22 삼성전자주식회사 초임계 유체를 이용한 기판 처리장치와 이를 포함하는 기판 처리 시스템 및 이를 이용한 기판처리 방법
JP6926303B2 (ja) * 2016-10-04 2021-08-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記録媒体
KR101910157B1 (ko) * 2018-08-06 2018-10-19 영창케미칼 주식회사 유무기 하이브리드 포토레지스트 공정액 조성물
CN113054068B (zh) * 2019-12-27 2022-04-05 山东浪潮华光光电子股份有限公司 一种砷化镓基发光二极管粗化后取管芯的方法
CN115799063A (zh) * 2023-01-31 2023-03-14 广州粤芯半导体技术有限公司 一种氧化物层的刻蚀方法

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
FR2128426B1 (xx) 1971-03-02 1980-03-07 Cnen
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4759917A (en) 1987-02-24 1988-07-26 Monsanto Company Oxidative dissolution of gallium arsenide and separation of gallium from arsenic
DE3861050D1 (de) 1987-05-07 1990-12-20 Micafil Ag Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
WO1989004858A1 (en) 1987-11-27 1989-06-01 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
CA2027550C (en) 1989-02-16 1995-12-26 Janusz B. Pawliszyn Apparatus and method for delivering supercritical fluid
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (ja) 1990-12-12 1998-08-06 富士写真フイルム株式会社 安定化処理液及びハロゲン化銀カラー写真感光材料の処理方法
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CA2059841A1 (en) 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
AT395951B (de) 1991-02-19 1993-04-26 Union Ind Compr Gase Gmbh Reinigung von werkstuecken mit organischen rueckstaenden
DE59204395D1 (de) 1991-05-17 1996-01-04 Ciba Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2.
US5965025A (en) 1991-06-12 1999-10-12 Idaho Research Foundation, Inc. Fluid extraction
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5730874A (en) 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5356538A (en) 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5279615A (en) 1991-06-14 1994-01-18 The Clorox Company Method and composition using densified carbon dioxide and cleaning adjunct to clean fabrics
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5431843A (en) 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
US5213622A (en) 1991-10-11 1993-05-25 Air Products And Chemicals, Inc. Cleaning agents for fabricating integrated circuits and a process for using the same
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
KR930019861A (ko) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
RU94030473A (ru) 1991-12-18 1996-05-27 Шеринг Корпорейшн (US) Способ очистки эластомерного изделия от остаточных примесей и эластомерное изделие, очищенное данным способом
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
JP3512796B2 (ja) 1992-03-27 2004-03-31 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒル フルオロポリマーの製造方法
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5352327A (en) 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5370742A (en) 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
EP0591595A1 (en) 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
WO1994014240A1 (en) 1992-12-11 1994-06-23 The Regents Of The University Of California Microelectromechanical signal processors
AU671895B2 (en) 1993-04-12 1996-09-12 Colgate-Palmolive Company, The Tricritical point composition
US5403665A (en) 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (ja) 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5364497A (en) 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5509431A (en) 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW274630B (xx) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
US5641887A (en) 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
US5872257A (en) 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
DE69523208T2 (de) 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
JP3320549B2 (ja) 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
US5467492A (en) 1994-04-29 1995-11-21 Hughes Aircraft Company Dry-cleaning of garments using liquid carbon dioxide under agitation as cleaning medium
KR0137841B1 (ko) 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
DE69521267T2 (de) 1994-11-08 2002-03-07 Raytheon Co Trockenreinigung von Kleidungstücken unter Verwendung von Gasstrahlverwirbelung
US5505219A (en) 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
DE69610652T2 (de) 1995-01-26 2001-05-10 Texas Instruments Inc Verfahren zur Entfernung von Oberflächenkontamination
JP3277114B2 (ja) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 陰画調レジスト像の作製方法
DE19506404C1 (de) 1995-02-23 1996-03-14 Siemens Ag Verfahren zum Freiätzen (Separieren) und Trocknen mikromechanischer Komponenten
US5683977A (en) 1995-03-06 1997-11-04 Lever Brothers Company, Division Of Conopco, Inc. Dry cleaning system using densified carbon dioxide and a surfactant adjunct
US5676705A (en) 1995-03-06 1997-10-14 Lever Brothers Company, Division Of Conopco, Inc. Method of dry cleaning fabrics using densified carbon dioxide
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (ja) 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
WO1997000442A1 (en) 1995-06-16 1997-01-03 The University Of Washington Microfabricated differential extraction device and method
US5783082A (en) 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5679169A (en) 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US5804607A (en) 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5726211A (en) 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5669251A (en) 1996-07-30 1997-09-23 Hughes Aircraft Company Liquid carbon dioxide dry cleaning system having a hydraulically powered basket
KR19980018262A (ko) 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5798438A (en) 1996-09-09 1998-08-25 University Of Massachusetts Polymers with increased order
US5881577A (en) 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5797719A (en) 1996-10-30 1998-08-25 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5888050A (en) 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6114044A (en) 1997-05-30 2000-09-05 Regents Of The University Of California Method of drying passivated micromachines by dewetting from a liquid-based process
US5900354A (en) 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
WO1999049998A1 (en) * 1998-03-30 1999-10-07 The Regents Of The University Of California Composition and method for removing photoresist materials from electronic components
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same

Also Published As

Publication number Publication date
WO2001033613A2 (en) 2001-05-10
EP1226603A2 (en) 2002-07-31
JP3771496B2 (ja) 2006-04-26
AU1455001A (en) 2001-05-14
MXPA02004039A (es) 2003-08-20
JP2003513342A (ja) 2003-04-08
KR20020047327A (ko) 2002-06-21
CA2387334A1 (en) 2001-05-10
WO2001033613A3 (en) 2002-01-10
US6500605B1 (en) 2002-12-31
CN1171288C (zh) 2004-10-13
CN1384972A (zh) 2002-12-11
KR100525855B1 (ko) 2005-11-02

Similar Documents

Publication Publication Date Title
HK1050957A1 (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide process.
AU2000266442A1 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
GB0201494D0 (en) Process and apparatus for purification of oxygen-containing gas
AU2003284931A8 (en) Supercritical carbon dioxide/chemical formulation for removal of photoresists
HK1048073A1 (en) Use of xenon for treating neurointoxications.
AU3246701A (en) Method and apparatus for the treatment of substrates
MX248298B (es) Prepinturas y metodos para preparar pinturas a partir de estas prepinturas.
HK1042812A1 (en) Plasma treatment apparatus and plasma treatment method.
ZA200209251B (en) Universal method and apparatus for conversion of volatile compounds.
AU2001237001A1 (en) Method and apparatus for the descaling of metal
GB0015123D0 (en) Process and apparatus for removal of volatile compounds from process gases
GB2354086A9 (en) Photoresist remover composition and process using the same
SG92720A1 (en) Method and apparatus for etching silicon
GB9717629D0 (en) Removal of residual organic solvents
EP1246694A4 (en) TREATMENT OF IN SITU AIR OXIDATION OF EFFLUENTS FROM METAL-OXIDE CHEMICAL VAPOR DEPOSITION PROCESSES
AU2001241678A1 (en) Methods and apparatus for pressure stabilized removal of contaminants from solution
AU4567500A (en) Apparatus and process for removing solid particles from gases
GB2357898B (en) Plasma process apparatus and plasma process method for substrate
HK1045979A1 (en) Process for the removal of suspended and other material from waste water.
AU2001216482A1 (en) High functional water containing titanium and method and apparatus for producing the same
HK1026684A1 (en) Process for the treatment of desulfurization waste water
MXPA01012766A (es) Proceso para remover selenio y mercurio de soluciones acuosas.
AU2001253066A1 (en) Methods and apparatus for removal of wrinkles from fabrics
AU3925700A (en) Integrated residue thermal cracking and partial oxidation process
GB0020779D0 (en) Apparatus and method for condensing liquid solvent

Legal Events

Date Code Title Description
PC Patent ceased (i.e. patent has lapsed due to the failure to pay the renewal fee)

Effective date: 20111101