DE60215571T2 - MFOS-Speicher-Transistor und diesbezügliches Herstellungsverfahren - Google Patents

MFOS-Speicher-Transistor und diesbezügliches Herstellungsverfahren Download PDF

Info

Publication number
DE60215571T2
DE60215571T2 DE60215571T DE60215571T DE60215571T2 DE 60215571 T2 DE60215571 T2 DE 60215571T2 DE 60215571 T DE60215571 T DE 60215571T DE 60215571 T DE60215571 T DE 60215571T DE 60215571 T2 DE60215571 T2 DE 60215571T2
Authority
DE
Germany
Prior art keywords
ferroelectric
gate
oxide
deposition
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60215571T
Other languages
English (en)
Other versions
DE60215571D1 (de
Inventor
Sheng Teng Camas Hsu
Fengyan Vancouver Zhang
Tingkai Vancouver Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of DE60215571D1 publication Critical patent/DE60215571D1/de
Application granted granted Critical
Publication of DE60215571T2 publication Critical patent/DE60215571T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

  • HINTERGRUND DER ERFINDUNG
  • Diese Erfindung liegt auf dem Gebiet der Halbleitertechnologie und betrifft insbesondere Metall-Ferroelektrikum-Isolator-Halbleiter (metal-ferroelectric-insulator-semiconductor, MFIS) Transistorstrukturen und Verfahren zu deren Herstellung. Ein MFIS-Transistor ähnelt einem MFOS-Transistor, jedoch ist dieser nicht auf Strukturen begrenzt, die ein Oxid als Isolator verwenden.
  • In der Vergangenheit haben ferroelektrische Speichertransistoren einen ferroelektrischen Elektrodenstapel mit einem ferroelektrischen Gate und einer oberen Elektrode verwendet. Diese Anordnung kann durch Abscheiden eines ferroelektrischen Materials ausgebildet werden, gefolgt von einer darüber liegenden Metallschicht. Die Schichten können dann mit einem Plasma geätzt werden. Die Plasmaätzung verschlechtert die ferroelektrischen Eigenschaften des ferroelektrischen Gates, weshalb die Zuverlässigkeit des Speichertransistors abnimmt. Das ferroelektrische Material muss ebenso passiviert werden, um eine Kontamination mit Wasserstoff zu verhindern. Die Passivierung wird auch zur Reduzierung unerwünschter Wechselwirkungen zwischen dem ferroelektrischen Material und einem darunter liegenden Oxid verwendet.
  • WO 97/07546 stellt eine verbesserte ferroelektrische MIS-Anordnung bereit, die in elektronischen Speichern verwendet werden kann. Die MIS-Anordnung enthält ein Halbleitersubstrat, eine Siliziumnitridpufferschicht, ein ferroelektrisches Metalloxidübergittermaterial und eine obere Elektrode aus einem Edelmetall. Das geschichtete Übergittermaterial besteht vorzugsweise aus Strontium-Bismut-Tantalat, Strontium-Bismut-Niobat oder Strontium-Bismut-Niob-Tantalat.
  • WO 01/15236 A1 betrifft einen in einem Halbleitersubstrat eingebetteten ferroelektrischen Transistor mit zwei Source/Draingebieten sowie einem dazwischen positionierten Kanalgebiet. An der Oberfläche des Kanalgebiets ist eine dielektrische Zwischenschicht angebracht. Oberhalb der dielektrischen Zwischenschicht sind eine ferroelektrische Schicht und eine Gateelektrode positioniert. Die dielektrische Zwischenschicht enthält ein Oxid eines Elements, das ebenso in der ferroelektrischen Schicht enthalten ist. Die dielektrische Zwischenschicht und die ferroelektrische Schicht können in ein und derselben Prozesskammer hergestellt werden.
  • Die Veröffentlichung „Integration an Characterization of MFISFET Using Pb5Ge3O11", von F. Zhang et al., Integrated Ferroelectrics, 2001, Vol. 40. S. 145-154 beschreibt einen Integrationsprozess eines Pt/PGO/ZrO2/Si MFIS FETs PMOS Einzel-Transistors. Ein herkömmlicher CMOS Prozess wird hierbei zur Ausbildung der Shallow Trench Isolation, des zu ersetzenden Gates und der Source/Drain-Implantation verwendet. Nach der Abscheidung von ZrOz, PGO und Pt wird der Gatestapel durch Trockenätzung mittels Chlorchemie definiert. Der Back-End Prozess verwendet ebenso einen herkömmlichen CMOS Prozess zur Ausbildung einer TEOS ILD Schicht und einer AlCu Zwischenmetallschicht. Jedoch wird keine passivierende Seitenwand durch Abscheidung eines Passivierungsisolators auf das Substrat und isotrope Ätzung des Isolators ausgebildet.
  • ÜBERSICHT ÜBER DIE ERFINDUNG
  • Die Erfindung ist in den Patentansprüchen 1 und 8 definiert. Es wird eine ferroelektrische Transistorstruktur angegeben, die ein ferroelektrisches Gate aufweist, das über einem Halbleitersubstrat liegt. Das ferroelektrische Gate weist eine Unterseite mit einem zwischen die Unterseite und das Halbleitersubstrat eingelegten Gatedielektrikum auf. Das ferroelektrische Gate weist ebenso Seitenflächen mit Passivierungsseitenwänden auf sowie eine mit einer oberen Elektrode bedeckte Oberseite. Die obere Elektrode, die Passivierungsseitenwände und das Gatedielektrikum dienen der Einkapselung des ferroelektrischen Gates, wodurch eine Kontamination mit Sauerstoff, Wasserstoff oder weiteren Fremdstoffen vermieden wird.
  • Ebenso wird ein erfindungsgemäßes Verfahren zum Herstellen der ferroelektrischen Gatestruktur angegeben. Ein Gateisolationsmaterial wird über dem Substrat ausgebildet. Eine Opfergatestruktur wird über dem Ga teisolationsmaterial ausgebildet und zur Erzeugung eines offenen Gategebiets entfernt. Ein Passivierungsisolator wird über dem Substrat abgeschieden, einschließlich des offenen Gategebiets. Der Passivierungsisolator wird anisotrop mit einem Plasma zur Ausbildung von Passivierungsseitenwänden geätzt. Ein ferroelektrisches Material wird über dem Substrat einschließlich des offenen Gategebiets abgeschieden und dann unter Verwendung von CMP poliert. Danach wird eine obere Elektrode über dem verbleibenden ferroelektrischen Material ausgebildet. Die Kombination aus der oberen Elektrode, den Passivierungsseitenwänden und dem Gateisolator dient der Einkapselung und dem Schutz des ferroelektrischen Materials.
  • Der Gateisolator besteht vorzugsweise aus ZrO2, Zirkoniumsilikat, Zr-Al-Si-O, HfO2, Hafniumsilikat, Hf-Al-O, La-Al-O, Lanthanoxid Ta2O5 oder weiteren geeigneten high-k Materialien. Jedoch kann der Gateisolator ebenso aus Siliziumnitrid, mit Stickstoff implantiertem Siliziumdioxid oder Siliziumoxinitrid bestehen.
  • Die Passivierungsseitenwände bestehen vorzugsweise aus TiO2, Al2O3, TiAlOx oder Si3N4.
  • Das ferroelektrische Material besteht vorzugsweise aus PGO, PZT, SBT, SBO, SBTO, SBTN, STO, BTO, BLT, LNO, YMnO3 oder weiteren geeigneten Materialien.
  • Die obere Elektrode besteht vorzugsweise aus Iridium, Platin, Ruthenium, Iridiumoxid, Platinoxid, Rutheniumoxid oder weiteren geeigneten Materialien.
  • KURZBESCHREIBUNG DER ABBILDUNGEN
  • 1 zeigt eine Querschnittsansicht eines Halbleitersubstrats zur weiteren Verarbeitung.
  • 2 zeigt eine Querschnittsansicht des Halbleitersubstrats mit einer auf dem Substrat ausgebildeten dielektrischen Schicht.
  • 3 zeigt eine Querschnittsansicht des Halbleitersubstrats mit einer Opferschicht auf der dielektrischen Schicht.
  • 4 zeigt eine Querschnittsansicht des Halbleitersubstrats mit einer Opfergatestruktur auf der dielektrischen Schicht.
  • 5 zeigt eine Querschnittsansicht des Halbleitersubstrats mit der von einem Oxid umgebenen Opfergatestruktur.
  • 6 zeigt eine Querschnittsansicht des Halbleitersubstrats nach dem Entfernen des Opfergates.
  • 7 zeigt eine Querschnittsansicht des Halbleitersubstrats nach der Abscheidung einer Passivierungsisolatorschicht.
  • 8 zeigt eine Querschnittsansicht des Halbleitersubstrats nach einer anisotropen Plasmaätzung der Passivierungsisolatorschicht.
  • 9 zeigt eine Querschnittsansicht des Halbleitersubstrats nach der Abscheidung einer ferroelektrischen Materialschicht.
  • 10 zeigt eine Querschnittsansicht des Halbleitersubstrats nach dem chemisch-mechanischen Polieren der ferroelektrischen Materialschicht.
  • 11 zeigt eine Querschnittsansicht des Halbleitersubstrats nach dem Abscheiden einer oberen Elektrodenschicht.
  • 12 zeigt eine Querschnittsansicht des Halbleitersubstrats mit einer oberen Elektrode.
  • 13 zeigt eine Querschnittsansicht des Halbleitersubstrats mit einer über den Bauelementstrukturen ausgebildeten Passivierungsschicht.
  • 14 zeigt eine Querschnittsansicht des Halbleitersubstrats mit Metallkontakten auf den Bauelementstrukturen.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • 1 zeigt eine Halbleiterstruktur 10, die mit herkömmlichen Verfahrensschritten vorverarbeitet wurde. Es wurde eine Shallow Trench Isolation (STI) verwendet, um Isolationsgebiete 12 und ein aktives Bauelementgebiet 14 auf einem Substrat 16 herzustellen. Obwohl in 1 eine STI-Struktur dargestellt ist, ist es ebenso möglich eine LOCOS-Isolation anstelle von STI zu verwenden. Das Halbleitersubstrat besteht vorzugsweise aus Silizium oder Silicon on Insulator (SOI).
  • 2 zeigt die Halbleiterstruktur 10 nach der Ausbildung eines Gateisolationsmaterials 18, welches ebenso als Gatedielektrikum bezeichnet werden kann. Das Gateisolationsmaterial 18 ist ein Metalloxid, das mit einem in nachfolgenden Schritten auszubildenden ferroelektrischen Material nicht signifikant reagiert. Obwohl das Gateisolationsmaterial Siliziumdioxid, mit Nitrid implantiertes Siliziumdioxid, Siliziumnitrid oder Siliziumoxinitrid sein kann, besteht das Gateisiolationsmaterial 18 vorzugsweise aus einem high-k Material. Zirkoniumoxid (ZrO2) stellt gegenwärtig das bevorzugte Material dar. Obwohl ZrO2 bevorzugt ist, können weitere geeignete Materialien mit hohem k (high-k Materialien) einschließlich Zirkoniumsilicat, Zr-Al-Si-O, HfO2, Hafniumsilicat, Hf-Al-O, La-Al-O, Lanthan Oxid und Ta2O5 verwendet werden.
  • Falls das Gateisolationsmaterial ein high-k Material ist, wird dieses vorzugsweise mit einer äquivalenten thermischen Oxiddicke zwischen 0.5nm und 10nm abgeschieden. Die äquivalente thermische Oxiddicke kann eine im Vergleich zu Siliziumdioxid tatsächlich größere Dicke aufweisen, was auf die höheren dielektrischen Konstanten in diesen Materialien zurückzuführen ist. Das Gateisolationsmaterial wird vorzugsweise mit einer Dicke zwischen ungefähr 1nm und 100 nm abgeschieden.
  • Eine Vielfalt von Verfahren ist zum Abscheiden des Gateisolationsmaterials 18 verfügbar. Im Falle von Siliziumdioxid kann das Gateisolationsmaterial thermisch aufgewachsen werden und nachfolgend wie gewünscht implantiert werden. Im Falle weiterer abgeschiedener Materialien beinhalten mögliche Abscheideverfahren chemische Gasphasenabscheidung einschließlich gepulster CVD, Sputtern oder Aufdampfen.
  • Beispielsweise kann ZrO2 unter Verwendung von Atomic Layer Deposition abgeschieden werden, worauf auch als „pulsed CVD" Bezug genommen wird. Atomic Layer Deposition dient der Abscheidung einer sehr dünnen Schicht eines Materials auf das Substrat. Atomic Layer Deposition nutzt ein chemisches Phänomen, das als Chemisorption bekannt ist. Bei der Chemisorption wird ein Material in einer Gasphase an einer Oberfläche adsorbiert und sättigt diese, wodurch eine Monoschicht ausgebildet wird. Die meisten geeigneten Abscheidetechniken verwenden Physiosorptionsprozesse, welche Mehrfachschichtabscheidegebiete mit einer rein statistischen Oberflächenbedeckung erzeugen. Durch Ausnutzung der Vorteile der Chemisorption können Schichten gewachsen werden, die eine sehr starke Gleichmäßigkeit hinsichtlich ihrer Dicke und ihres Aufbaus aufweisen. Beispielsweise wurde über ZrO2 Schichten berichtet, die auf diese Weise auf Silizium unter Verwendung von Zirkoniumchlorid (ZrCl4) gewachsen wurden, um die erste Monoschicht auszubilden, Reinigen des Systems von ZrCl4 und Aussetzen der Oberfläche in Wasserdampf (H2O). Weitere Precursor zum Herstellen von Zirkonium-Oxidschichten schließen Zirkoniumpropoxide (Zr(iOPr)4) und Zirkoniumtetramethylheptanedionato (Zr(tmhd)4) ein. Chemisorption tritt für eine gegebene Gas-Festkörperkombination in einem sehr begrenzten Bereich von Temperatur und Druck auf. Beispielsweise wurde Zirkoniumoxid nachgewiesener Weise auf Siliziumsubstrate bei Temperaturen von 300 Grad Celsius unter Verwendung von ZrCl4 und H2O abgeschieden. Da dieser Prozess eine Monoschicht erzeugt, können dickere Schichten aus Zirkoniumoxid durch Herstellung weiterer zusätzlicher Monoschichten erzeugt werden.
  • ZrO2 kann ebenso unter Verwendung von wie oben definierten Precursorn als auch weiterer Precursor in einem herkömmlicheren CVD Prozess abgeschieden werden.
  • Eine alternative Abscheidetechnik unter Verwendung herkömmlicher Systeme stellt das Sputtern von Targets zum Festsetzen einer dünnen Schicht aus high-k Material dar. Hierbei wird ein Sputtertarget eines Metalls hoher Reinheit verwendet. Ein Wafer wird präpariert und in eine Abscheidekammer platziert. Der Wafer wird dann auf eine Temperatur zwischen Raumtemperatur und 500 Grad Celsius erhitzt. Danach wird ein Gemisch aus Argon (Ar) und Sauerstoff (O2) in die Abscheidekammer eingelassen. Ein Plasma mit einer Sputterleistung zwischen ungefähr 500 W und 5kW wird innerhalb der Kammer erzeugt. Der Zirkonium-Shutter ist zur Abscheidung von Zirkonium auf dem Wafer geöffnet und dieser wird danach geschlossen. Durch den Sauerstoff innerhalb der Kammer bildet das Targetmaterial mit der Abscheidung auf dem Wafer gleichzeitig ZrO2.
  • In einer weiteren alternativen Ausführungsform des Abscheidverfahrens dieser Erfindung wird eine Aufdampfung über Targets zur Abscheidung der dünnen Schicht verwendet. Der grundlegende Prozess stimmt im Wesentlichen mit der im Hinblick auf das Sputtern gegebenen Beschreibung überein, abgesehen davon, dass die Targets anstelle deren Freilegens in einem Plasma auf eine Temperatur von ungefähr 1000 und 2000 Grad Celsius erhitzt werden. Wie oben beschrieben ist, können Shutter zur Steuerung der Dauer der Abscheidung verwendet werden.
  • 3 zeigt eine Opferschicht 20, die über dem Gateisolationsmaterial 18 abgeschieden ist. Die Opferschicht wird mit einer Dicke zwischen ungefähr 200nm und 400nm abgeschieden. Die Opferschicht besteht vorzugsweise aus Siliziumnitrid oder Polysilizium. Diese lässt sich vorzugsweise durch selektives Ätzen ohne Beeinträchtigung von darunter liegenden oder angrenzenden Materialien entfernen.
  • 4 zeigt eine Opfergatestruktur 22, die durch Strukturieren der Opferschicht unter Verwendung einer darüber liegenden Maskenschicht (nicht gezeigt) ausgebildet wird sowie das Plasmaätzen der Opferschicht. Die Plasmaätzung kann am Gateisolationsmaterial enden. Alternativ hierzu kann die Plasmaätzung das Gateisolationsmaterial teilweise oder vollständig aus nicht von dem Maskenmuster bedeckten Bereichen entfernen. Ein Sourcegebiet 24 und ein Draingebiet 26 sind benachbart zur Opfergatestruktur 22 ausgebildet. Das Sourcegebeit 24 und das Draingebiet 26 können mit einem beliebigen herkömmlichen Prozess ausgebildet werden, wobei jedoch vorzugsweise Ionenimplantation verwendet wird.
  • 5 zeigt die Halbleiterstruktur 10 nach der Abscheidung einer Oxidschicht 30. Die Oxidschicht 30 wird über der Opfergatestruktur und umgebenden Gebieten abgeschieden. Die Oxidschicht 30 wird bis zu einer Dicke abgeschieden, bei welcher der unterste Bereich des Oxids wenigstens so hoch wie die Opfergatestruktur 22 ist. Diese Dicke ist vorzugsweise 1 bis 2 Mal so groß wie die Höhe der Opfergatestruktur 22 oberhalb des Substrats 16. Nach dem Abscheiden der Oxidschicht wird diese unter Verwendung chemisch-mechanischen Polierens (CMP) poliert, um die Opfergatestruktur 22 freizulegen. Der CMP Prozess endet vorzugsweise auf der Oberseite der Opfergatestruktur 22 ohne einen beträchtlichen Bereich derselben zu entfernen.
  • 6 zeigt die Halbleiterstruktur 10 nach dem Entfernen der Opfergatestruktur. Das Entfernen der Opfergatestruktur hinterlässt ein offenes Gategebiet 32. Die Opfergatestruktur wird vorzugsweise mit einem nassen Ätzprozess entfernt.
  • 7 zeigt die Halbleiterstruktur 10 nach der Abscheidung eines Passivierungsisolators 34. Der Passivierungsisolator 34 wird vorzugsweise aus Materialien ausgewählt, die eine Diffusion von Sauerstoff oder Wasserstoff reduzieren oder verhindern. Bevorzugte Materialien zum Ausbilden des Passivierungsisolators 34 stellen TiO2, Al2O3, TiAlOx und Si3N4 dar. Der Passivierungsisolator 34 kann durch Sputtern oder weitere einem Fachmann bekannte Verfahren ausgebildet werden.
  • 8 zeigt die Halbleiterstruktur 10 nach dem anisotropen Plasmaätzen des Passivierungsisolators 34. Die anisotrope Ätzung entfernt den Passivierungsisolator 34 aus horizontalen Oberflächen und hinterlässt Passivierungsseitenwände.
  • 9 zeigt die Halbleiterstruktur nach der Abscheidung des ferroelektrischen Materials 38. Das ferroelektrische Material füllt das offene Gategebiet auf. Vorzugsweise wird das ferroelektrische Material 38 mit einer Dicke abgeschieden, die größer ist als die Tiefe des offenen Gategebiets. Das ferroelektrische Material 38 kann mit metallorganischer chemischer Gasphasenabscheidung (MOCVD) oder aus der chemischen Lösung (chemicalsolution deposition CSD) abgeschieden werden. Das ferroelektrische Material wird vorzugsweise aus PGO, PZT, SBT, SBO, SBTO, SBTN, STO, BTO, BLT, LNO und YMnO3 ausgewählt.
  • Beispielsweise kann ein PGO Material, das ebenso als Pb5Ge3O11 bezeichnet werden kann, unter Einsatz des folgenden bevorzugten Verfahrens abgeschieden werden. Das PGO Material wird durch metallorganische Gasphasenabscheidung (MOCVD) und RTP (Rapid Thermal Process) Ausheilverfahren abgeschieden. Das PGO Material kann bei Temperaturen zwischen 450 und 550°C abgeschieden werden.
  • Ein EMCORE-Oxid-MOCVD Reaktor mit einem flüssigen Verteilungssystem wurde zum Aufwachsen des PGO Materials verwendet. Die Precursor für das PGO Material sind in Tabelle 1 gelistet.
  • Figure 00080001
    Tabelle 1: Eigenschaften von Precursorn für PGO Schichten
  • Flüssige Precursor wie Germaniumalkoxide, Germaniumhalide, Bleialkyle und Bleihalide verwenden einen Bubbler mit überwachter Temperatur zur Erzeugung von Precursor-Dämpfen. Derartige Precursor wie Blei β-Diketonate sind in einem Lösungsmittel gelöst und verwenden ein flüssiges Verteilungssystem, das mit einem Blitzverdampfer zur Erzeugung von Precursor-Dämpfen gekoppelt ist. Tabelle 2 zeigt eine Liste von PGO Precursorn, die im Hinblick auf einige Aspekte der Erfindung verwendet werden können.
  • Figure 00090001
    Tabelle 2: Eigenschaften von Precursorn von PGO Schichten
  • Tabelle 3 zeigt eine Liste von Lösungsmitteln, die wechselweise im Hinblick auf einige Aspekte der Erfindung verfügbar sind.
  • Figure 00090002
    Tabelle 3: Eigenschaften von Lösungsmitteln für PGO Schichten
  • [Pb(thd)2] und [Ge(ETO)4] mit einem Mol-Verhältnis von 5:3 wurden in einer Mischlösung aus Tetrahydrofuran, Isopropanol und Tetraglym mit einem Molverhältnis von 8:2:1 gelöst. Die Precursorlösungen weisen eine Konzentration von 0.1 bis 0.3 M/L von Pb5Ge3O11 auf. Die Lösung wurde in einen Verdampfer (150°) über eine Pumpe mit einer Rate von 0.1 ml/min zur Ausbildung von Precursorgasen injiziert. Die Precursorgase wurden unter Verwendung einers vorerhitzten Argonflusses bei 150-170°C in den Reaktor gebracht. Die Abscheidetemperaturen und der Druck betrugen 500°C und 5- 10 Torr. Der Mantelfluss (Ar 4000 sccm) wurde mit Sauerstoff (1000-2000 sccm) in den Reaktor geführt. Nach der Abscheidung wurde das PGO Material in Sauerstoffatmosphäre auf Raumtemperatur abgekühlt. Das PGO Material kann unter Verwendung von RTP abgekühlt werden.
  • Alternativ hierzu kann anstelle von MOCVD ein CSD Prozess zur Abscheidung des ferroelektrischen Materials verwendet werden. Eine Form des CSD Prozesses stellt ein Spin-on-Verfahren dar. Beispielsweise kann ein PGO Dünnfilm unter Verwendung des Spin-on-Verfahrens abgeschieden werden. Die Precursor sind Bleiacetate und Germanium-Iopropoxide in Di (Diethylenglycol) Ethylether-Lösung. Die Precursor werden über das Substrat und darüber liegenden Strukturen gewirbelt und bilden eine Schicht aus. Die Schicht wird bei 50 bis 350 Grad Celsius für 1 bis 10 Minuten ausgeheizt und nach jedem Spin-Coating bei 400 bis 500 Grad Celsius für 1 bis 15 Minuten im Vorfeld ausgeheilt, um das Lösungsmittel zu verdampfen und organische Komponenten zu beseitigen. Jede Spin-Coating-Schicht weist eine Dicke zwischen ungefähr 10nm und 100nm auf. Nach einigen Wiederholungen kann die PGO Schicht mit einer gewünschten Dicke hergestellt werden. Die PGO Schicht wird bei 500 bis 600 Grad Celsius für 5 Minuten bis 3 Stunden in einer Sauerstoffumgebung kristallisiert. Eine Optimierung des Prozesses lässt sich im Hinblick auf eine gewünschte Schichtdicke ohne übertriebenes Experimentieren erzielen.
  • 10 zeigt die Halbleiterstruktur 10 nach CMP des ferroelektrischen Materials zur Herstellung eines ferroelektrischen Gates 40. Der CMP Prozess endet vorzugsweise auf der Oberseite der Passivierungsseitenwände 36. Alternativ hierzu kann sich der CMP Prozess geringfügig unterhalb der Oberseite der Passivierungsseitenwände 36 erstrecken.
  • 11 zeigt die Halbleiterstruktur 10 nach der Abscheidung einer Elektrodenschicht 42. Die Elektrodenschicht 42 weist Iridium, Platin, Ruthenium oder deren Oxide auf. Die Elektrodenschicht 42 wird strukturiert und zur Ausbildung einer oberen Elektrode geätzt, siehe 12. Die Elektrodenschicht 42 kann unter Verwendung eines Fotolacks oder eines Hart maskenmaterials wie TiN, TiO2, TiAlO3, SiO2, SiN oder weiteren geeigneten Materialien strukturiert werden. Die Elektrodenschicht kann durch Plasmaätzung oder weitere geeignete Ätzprozesse geätzt werden.
  • In einer alternativen Ausführungsform kann ein Einlege- oder Damascene-Verfahren zur Ausbildung der oberen Elektrode 44 verwendet werden. Ein Graben kann mit einem Verfahren ausgebildet werden, das demjenigen zum Ausbilden des ferroelektrischen Gates, welches oben detailliert beschrieben wurde, ähnlich ist. Ein Metall wie Iridium, Platin, Ruthenium oder deren Oxide können dann im Graben abgeschieden werden und unter Verwendung von CMP zur Ausbildung der oberen Elektrode 44 poliert werden.
  • 12 zeigt die Halbleiterstruktur 10 mit einer ferroelektrischen Gatestruktur 46. Die ferroelektrische Gatestruktur 46 weist das ferroelektrische Gate 40 auf, das durch das Gateisolationsmaterial 18 von unten, durch die Passivierungsseitenwände 36 entlang der Seitenflächen und durch die obere Elektrode 44 von oben geschützt wird. Dies reduziert oder unterdrückt eine Kontamination des ferroelektrischen Gates 40 mit Sauerstoff oder Wasserstoff, die in das ferroelektrische Gate 40 diffundieren.
  • 13 zeigt die Halbleiterstruktur 10 mit einer Passivierungsschicht 48, die über die ferroelektrische Gatestruktur abgeschieden ist.
  • 14 zeigt die Halbleiterstruktur 10 nach der abschließenden Metallisierung mit Hilfe bekannter Verfahren. Verbindungen 50 zum Sourcegebiet 24, dem Draingebiet 26 und der oberen Elektrode 44 sind in Form vereinfachter Strukturen dargestellt. Jegliche Art von Metallisierungsschemata kann hier verwendet werden, einschließlich einer Kupfermetallisierung. Die Metallisierung kann Barrierenschichten und weitere Schichten einschließen, die in Verbindung mit verschiedenen Metallisierungsschemata verwendet werden.
  • Obwohl hierin eine Metallisierung durch Abscheidung mit anschließendem Ätzen beschrieben ist, ist es alternativ hierzu ebenso möglich, einen Damascene-Metallisierungsprozess zu verwenden. Beispielsweise wird der Damascene-Metallisierungsprozess bei Kupfermetallisierung bevorzugt.

Claims (20)

  1. Ferroelektrische Transistorstruktur, die ein ferroelektrisches Gate aufweist, das über einem Halbleitersubstrat liegt und eine Unterseite, Seitenflächen und eine Oberseite aufweist, wobei das ferroelektrische Gate direkt über eine Kombination eines Gateisolators auf der Unterseite, Passivierungsseitenwänden auf den Seitenflächen und einer oberen Elektrode auf der Oberseite eingekapselt ist und die Passivierungsseitenwände TiO2, Al2O3, TiAlOx oder Si3N4 aufweisen.
  2. Ferroelektrische Transistorstruktur nach Anspruch 1, wobei das Halbleitersubstrat Silizium oder SOI entspricht.
  3. Ferroelektrische Transistorstruktur nach Anspruch 1, wobei das ferroelektrische Gate PGO, PZT, SBT, SBO, SBTO, SBTN, STO, BTO, BLT, LNO oder YMnO3 entspricht.
  4. Ferroelektrische Transistorstruktur nach Anspruch 1, wobei der Gateisolator ZrO2, Zirkoniumsilikat Zr-Al-Si-O, HfO2, Hafniumsilikat, Hf-Al-O, La-Al-O, Lanthanoxid oder Ta2O5 entspricht.
  5. Ferroelektrische Transistorstruktur nach Anspruch 1, wobei das ferroelektrische Gate mittels eines Verfahrens zur Abscheidung aus einer chemischen Lösung (CSD) abgeschieden ist.
  6. Ferroelektrische Transistorstruktur nach Anspruch 1, wobei die obere Elektrode Iridium, Platin, Ruthenium, Iridiumoxid, Platinoxid oder Rutheniumoxid aufweist.
  7. Ferroelektrische Transistorstruktur nach Anspruch 1, wobei der Gateisolator Siliziumnitrid, Siliziumoxid mit implantiertem Stickstoff oder Siliziumoxinitrid entspricht.
  8. Verfahren zum Herstellen einer ferroelektrischen Transistorstruktur auf einem Substrat mit den Schritten: a) Ausbilden eines Gateisolators über dem Substrat; b) Herstellen einer über dem Substrat liegenden Opfer-Gatestruktur; c) Entfernen der Opfer-Gatestruktur; d) Abscheiden eines Passivierungsisolators über dem Substrat; e) Ätzen des Passivierungsisolators durch anisotropes Ätzen, wodurch Passivierungsseitenwände ausgebildet werden; f) Abscheiden eines ferroelektrischen Materials über dem Substrat; g) Polieren des ferroelektrischen Materials durch chemisch-mechanisches Polieren (CMP), so dass ein ferroelektrisches Gate ausgebildet wird; und h) Ausbilden einer über dem ferroelektrischen Gate liegenden oberen Elektrode.
  9. Verfahren nach Anspruch 8, wobei das Ausbilden des Opfer-Gates über die Schritte erfolgt: a) Abscheiden und Strukturieren einer Schicht eines Opfer-Gatematerials, so dass ein Opfer-Gate ausgebildet wird; b) Ausbilden eines über dem Opfer-Gate liegenden Oxids; c) und Polieren des Oxids zum Freilegen des Opfer-Gates.
  10. Verfahren nach Anspruch 9, wobei die Schicht des Opfer-Gatematerials Siliziumnitrid oder Polysilizium entspricht.
  11. Verfahren nach Anspruch 9, wobei das Polieren als chemisch-mechanisches Polieren erfolgt.
  12. Verfahren nach Anspruch 8, wobei das Abscheiden des Gateisolators mit chemischer Gasphasenabscheidung (CVD), gepulster CVD, Sputtern oder Aufdampfen erfolgt.
  13. Verfahren nach Anspruch 8, wobei beim Abscheiden des Gateisolators ZrO2, Zirkoniumsilikat, Zr-Al-Si-O, HfO2, Hafniumsilikat, Hf-Al-O, Lanthanoxid oder Ta2O5 abgeschieden wird.
  14. Verfahren nach Anspruch 8, wobei das Abscheiden des ferroelektrischen Materials über metall-organische chemische Gasphasenabscheidung (MOCVD) oder eine Abscheidung auf der chemischen Lösung (CSD) erfolgt.
  15. Verfahren nach Anspruch 8, wobei der beim Abscheiden des ferroelektrischen Materials PGO, PZT, SBT, SBO, SBTO, SBTN, STO, BTO, BLT, LNO oder YMnO3 abgeschieden wird.
  16. Verfahren nach Anspruch 8, wobei die obere Elektrode aus Iridium, Platin, Iridiumoxid oder Platinoxid besteht.
  17. Verfahren nach Anspruch 8, wobei das Ausbilden der oberen Elektrode durch Abscheiden und Strukturieren einer oberen Elektrodenschicht erfolgt.
  18. Verfahren nach Anspruch 8, wobei das Ausbilden der oberen Elektrode unter Verwendung eines Damascene-Prozesses erfolgt.
  19. Verfahren nach Anspruch 8, wobei beim Abscheiden des Passivierungsisolators TiO2, Al2O3, TiAlOx oder Si3N4 abgeschieden wird.
  20. Verfahren nach Anspruch 8, wobei das Ätzen des Passivierungsisolators unter Verwendung einer anisotropen Plasmaätzung erfolgt.
DE60215571T 2001-03-28 2002-03-27 MFOS-Speicher-Transistor und diesbezügliches Herstellungsverfahren Expired - Lifetime DE60215571T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US820039 2001-03-28
US09/820,039 US6531324B2 (en) 2001-03-28 2001-03-28 MFOS memory transistor & method of fabricating same

Publications (2)

Publication Number Publication Date
DE60215571D1 DE60215571D1 (de) 2006-12-07
DE60215571T2 true DE60215571T2 (de) 2007-08-23

Family

ID=25229720

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60215571T Expired - Lifetime DE60215571T2 (de) 2001-03-28 2002-03-27 MFOS-Speicher-Transistor und diesbezügliches Herstellungsverfahren

Country Status (6)

Country Link
US (2) US6531324B2 (de)
EP (1) EP1246254B1 (de)
JP (1) JP3909753B2 (de)
KR (1) KR100479517B1 (de)
DE (1) DE60215571T2 (de)
TW (1) TW535288B (de)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976928A (en) * 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
JP2004519090A (ja) * 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
GB0024294D0 (en) * 2000-10-04 2000-11-15 Univ Cambridge Tech Solid state embossing of polymer devices
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
TW512463B (en) * 2001-09-28 2002-12-01 Macronix Int Co Ltd Method for epitaxial growth of lead zirconate titanate film
US6664116B2 (en) * 2001-12-12 2003-12-16 Sharp Laboratories Of America, Inc. Seed layer processes for MOCVD of ferroelectric thin films on high-k gate oxides
JP3581354B2 (ja) * 2002-03-27 2004-10-27 株式会社東芝 電界効果トランジスタ
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
TW554524B (en) * 2002-05-28 2003-09-21 Univ Nat Chiao Tung Manufacturing method of ferrolectric FET
JP4887481B2 (ja) 2002-08-20 2012-02-29 独立行政法人産業技術総合研究所 半導体強誘電体記憶デバイス
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
FR2849531A1 (fr) 2002-12-27 2004-07-02 St Microelectronics Sa Procede de formation d'une region localisee d'un materiau difficilement gravable
JP2004245660A (ja) * 2003-02-13 2004-09-02 Seiko Instruments Inc 小片試料の作製とその壁面の観察方法及びそのシステム
DE10308970A1 (de) * 2003-02-28 2004-09-09 Infineon Technologies Ag Halbleiterspeicherzelle und Verfahren zu deren Herstellung
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR100543528B1 (ko) * 2003-08-26 2006-01-31 한국과학기술연구원 식각 선택비가 큰 버퍼층을 이용한 자기정렬 강유전체게이트 트랜지스터의 제조방법
JP4212435B2 (ja) * 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
KR101036159B1 (ko) * 2003-11-20 2011-05-23 매그나칩 반도체 유한회사 듀얼 다마신 방법을 이용한 금속 배선 형성 방법
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7008833B2 (en) * 2004-01-12 2006-03-07 Sharp Laboratories Of America, Inc. In2O3thin film resistivity control by doping metal oxide insulator for MFMox device applications
US6995025B2 (en) * 2004-06-21 2006-02-07 Sharp Laboratories Of America, Inc. Asymmetrical programming ferroelectric memory transistor
KR100741983B1 (ko) 2004-07-05 2007-07-23 삼성전자주식회사 고유전율의 게이트 절연막을 갖는 반도체 장치 및 그 제조방법
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7378286B2 (en) * 2004-08-20 2008-05-27 Sharp Laboratories Of America, Inc. Semiconductive metal oxide thin film ferroelectric memory transistor
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
JP4785180B2 (ja) 2004-09-10 2011-10-05 富士通セミコンダクター株式会社 強誘電体メモリ、多値データ記録方法、および多値データ読出し方法
US20060060930A1 (en) * 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US6991942B1 (en) * 2004-09-28 2006-01-31 Sharp Laboratories Of America, Inc. MFIS ferroelectric memory array on SOI and method of making same
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7335562B2 (en) * 2005-10-24 2008-02-26 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP5142494B2 (ja) * 2006-08-03 2013-02-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7909482B2 (en) 2006-08-21 2011-03-22 Innotec Corporation Electrical device having boardless electrical component mounting arrangement
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US8408773B2 (en) * 2007-03-19 2013-04-02 Innotec Corporation Light for vehicles
US7759237B2 (en) 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
WO2009076579A2 (en) * 2007-12-12 2009-06-18 Innotec Corporation Overmolded circuit board and method
US8945418B2 (en) * 2011-11-16 2015-02-03 The United States Of America, As Represented By The Secretary Of The Navy Melt stabilization and vapor-phase synthesis of cesium germanium halides
US9022631B2 (en) 2012-06-13 2015-05-05 Innotec Corp. Flexible light pipe
US9818869B2 (en) 2013-07-25 2017-11-14 National Institute Of Advanced Industrial Science And Technology Ferroelectric device and method of its manufacture
US11004868B2 (en) 2017-03-22 2021-05-11 Intel Corporation Memory field-effect transistors and methods of manufacturing the same
JP2021044510A (ja) 2019-09-13 2021-03-18 キオクシア株式会社 半導体記憶装置
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0540993A1 (de) * 1991-11-06 1993-05-12 Ramtron International Corporation Struktur und Herstellung eines MOS-Feldeffekttransistors mit hoher Transkonduktanz unter Verwendung eines Gatedielektrikums, das aus einer übereinanderliegenden Pufferschicht/Ferroelektrikum/Pufferschicht besteht
US6310373B1 (en) * 1992-10-23 2001-10-30 Symetrix Corporation Metal insulator semiconductor structure with polarization-compatible buffer layer
US6373743B1 (en) * 1999-08-30 2002-04-16 Symetrix Corporation Ferroelectric memory and method of operating same
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
KR0141160B1 (ko) * 1995-03-22 1998-06-01 김광호 강유전체 메모리 장치 및 그 제조방법
JP3113173B2 (ja) * 1995-06-05 2000-11-27 シャープ株式会社 不揮発性ランダムアクセスメモリ及びその製造方法
KR0155866B1 (ko) * 1995-08-10 1998-10-15 김광호 강유전체 메모리 장치 및 그 제조 방법
US5858843A (en) * 1996-09-27 1999-01-12 Intel Corporation Low temperature method of forming gate electrode and gate dielectric
JPH10189966A (ja) * 1996-12-26 1998-07-21 Toshiba Corp 半導体装置及びその製造方法
JP3281839B2 (ja) * 1997-06-16 2002-05-13 三洋電機株式会社 誘電体メモリおよびその製造方法
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JPH1126757A (ja) * 1997-06-30 1999-01-29 Toshiba Corp 半導体装置及びその製造方法
KR19990084635A (ko) * 1998-05-08 1999-12-06 정선종 강유전체 트랜지스터 메모리 소자
KR20000014361A (ko) * 1998-08-20 2000-03-15 정선종 강유전체로서 바륨-스트론튬-나이오븀-산화물을 사용한 강유전체 트랜지스터 및 그 제조방법
KR20000025935A (ko) * 1998-10-15 2000-05-06 정선종 강유전체 전계효과 트랜지스터 및 그의 제조방법
US6048740A (en) 1998-11-05 2000-04-11 Sharp Laboratories Of America, Inc. Ferroelectric nonvolatile transistor and method of making same
JP2000252372A (ja) * 1999-02-26 2000-09-14 Sharp Corp 半導体メモリ装置及びその製造方法
JP3600476B2 (ja) * 1999-06-30 2004-12-15 株式会社東芝 半導体装置の製造方法
DE19940381A1 (de) * 1999-08-25 2001-04-05 Infineon Technologies Ag Ferroelektrischer Transistor und Verfahren zu dessen Herstellung
KR100313605B1 (ko) * 1999-10-07 2001-11-09 김영환 반도체장치 및 그 제조방법
KR100362169B1 (ko) * 1999-10-18 2002-11-23 한국전자통신연구원 비파괴독출형 전계효과트랜지스터 및 그 제조방법
TW466606B (en) * 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
US6303502B1 (en) * 2000-06-06 2001-10-16 Sharp Laboratories Of America, Inc. MOCVD metal oxide for one transistor memory

Also Published As

Publication number Publication date
TW535288B (en) 2003-06-01
US20030068848A1 (en) 2003-04-10
US6531324B2 (en) 2003-03-11
US20020177244A1 (en) 2002-11-28
JP2002353420A (ja) 2002-12-06
JP3909753B2 (ja) 2007-04-25
EP1246254B1 (de) 2006-10-25
KR20020077208A (ko) 2002-10-11
EP1246254A2 (de) 2002-10-02
DE60215571D1 (de) 2006-12-07
EP1246254A3 (de) 2004-10-20
KR100479517B1 (ko) 2005-03-30

Similar Documents

Publication Publication Date Title
DE60215571T2 (de) MFOS-Speicher-Transistor und diesbezügliches Herstellungsverfahren
KR100472258B1 (ko) 고-k절연체를 포함하는 단일 트랜지스터의 강유전체 트랜지스터 구조 및 그 형성방법
DE69804672T2 (de) Verfahren zur selektiven ablagerung ferroelektrischer schichten auf wismut basis
DE102015213529B4 (de) Vorrichtung mit einer Floating-Gate-Elektrode und einer Schicht aus ferroelektrischem Material und Verfahren zu ihrer Herstellung
DE10000005C1 (de) Verfahren zur Herstellung eines ferroelektrischen Halbleiterspeichers
KR100519800B1 (ko) 란타늄 산화막의 제조방법 및 이를 이용한 모스 전계효과트랜지스터 및 캐패시터의 제조방법
DE102007055880B4 (de) Verfahren zur Herstellung eines Isoliermaterials, insbesondere in einer Halbleiteranordnung
DE112015000701T5 (de) Verfahren zum Herstellen eines Ladungseinfang-Gate-Stapels unter Verwendung eines CMOS-Prozessflusses
DE102006012772A1 (de) Halbleiterspeicherbauelement mit dielektrischer Struktur und Verfahren zur Herstellung desselben
US20040072401A1 (en) Method for forming capacitor
DE10064067B4 (de) Verfahren zur Herstellung eines Kondensators einer Halbleitereinrichtung
JP2003502837A (ja) 高誘電率用途向け金属酸化物薄膜
US6995069B2 (en) Dielectric capacitor manufacturing method and semiconductor storage device manufacturing method
DE102008000003A1 (de) Halbleiteranordnungen und Verfahren zur Herstellung derselben
US20040101977A1 (en) Low thermal budget fabrication of ferroelectric memory using RTP
DE102007000677A1 (de) Halbleiteranordnungen und Verfahren zur Herstellung derselben
JP2003510839A (ja) バリア層を有する集積回路およびその製造方法
DE10032213B4 (de) Verfahren zur Herstellung eines Kondensators für ein Halbleiterspeicherbauelement
WO2006045722A1 (de) Halbleiterbauelement sowie zugehöriges herstellungsverfahren
US7456456B2 (en) Semiconductor device and method of manufacturing the same
DE102014217874B3 (de) Verfahren zur Herstellung einer Halbleiterstruktur, bei dem eine Gatestruktur mit einem Gatedielektrikumsmaterial für einen ferroelektrischen Transistor gebildet wird
DE102018116726A1 (de) Selektive High-k-Bildung in einem Gate-Last-Prozess
DE10226914A1 (de) Verfahren zur Herstellung einer Spacerstruktur
DE19860080B4 (de) Mikroelektronische Struktur
KR20030036055A (ko) 엠에프오에스 단일 트랜지스터 메모리 용도용 티타늄버퍼층을 갖는 고-케이 게이트 산화물

Legal Events

Date Code Title Description
8364 No opposition during term of opposition