DE60025773T2 - Verfahren zur Herstellung einer Kupferschicht auf einer Halbleiterscheibe - Google Patents

Verfahren zur Herstellung einer Kupferschicht auf einer Halbleiterscheibe Download PDF

Info

Publication number
DE60025773T2
DE60025773T2 DE60025773T DE60025773T DE60025773T2 DE 60025773 T2 DE60025773 T2 DE 60025773T2 DE 60025773 T DE60025773 T DE 60025773T DE 60025773 T DE60025773 T DE 60025773T DE 60025773 T2 DE60025773 T2 DE 60025773T2
Authority
DE
Germany
Prior art keywords
wafer
copper
plating
power level
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60025773T
Other languages
English (en)
Other versions
DE60025773D1 (de
Inventor
Cindy Reidsema Austin Simpson
Robert Douglas Austin Mikkola
Matthew T. Austin Herrick
Brett Caroline Austin Baker
David Moralez Buda Pena
Edward San Marcos Acousta
Rina Austin Chowdhury
Marijean Austin Azrak
Cindy Kay Austin Goldberg
Mohammed Rabiul Austin Islam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Application granted granted Critical
Publication of DE60025773D1 publication Critical patent/DE60025773D1/de
Publication of DE60025773T2 publication Critical patent/DE60025773T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physical Vapour Deposition (AREA)

Description

  • Die vorliegende Erfindung bezieht sich im Allgemeinen auf die Halbleiterherstellung und insbesondere auf ein Verfahren zum Galvanisieren von Kupfer auf einem Halbleitersubstrat, um Inlay-Kupfer-Interconnects bzw. eingelegte Kupferzwischenverbindungen auszubilden.
  • Hintergrund der Erfindung
  • Gegenwärtige Halbleiterbauteile erfordern höhere Stromdichten, um eine höhere Leistungsfähigkeit zu erlangen. Zusätzlich werden Bauteilabmessungen zu Geometrien geschrumpft, die zunehmend problematisch werden, wenn diese höheren Stromdichten geleitet werden. Die höheren Stromdichten und geschrumpften Geometrien müssen in die Technologie aufgenommen werden, während gleichzeitig angemessene Widerstandsniveaus gegenüber Elektromigration (EM), eine verringerte Metallhohlraumbildung und ein verbesserter Wafer-Herstellungsdurchsatz beibehalten werden sowie andere übliche Zuverlässigkeitsprobleme vermieden werden. Während Aluminium ein ausgereiftes IC-Interconnect-Material (IC = "integrated circuit"/integrierter Schaltkreis) ist, ist Kupfer ein relativ neues Material für die Verwendung in IC-Interconnects.
  • Eines der erfolgversprechendsten Verfahren zum Abscheiden von Kupfer (Cu) auf einem Substrat ist jenes unter Verwendung von Überzugsverfahren, wie beispielsweise Galvanisieren. Galvanisiertes Kupfer stellt zahlreiche Vorteile gegenüber Aluminium bereit, wenn bei IC-Anwendungen (IC = "integrated circuit"/integrierter Schaltkreis) verwendet, wobei ein Hauptvorteil ist, dass Kupfer einen geringeren Widerstand als Aluminium-basierte Materialien hat und deshalb für viel höhere Betriebsfrequenzen geeignet ist. Zusätzlich ist Kupfer gegenüber herkömmlicher Probleme, die mit Elektromigration (EM) verbunden sind, widerstandsfähiger als Aluminium. Der erhöhte Widerstand gegenüber Elektromigration der vorliegt, wenn Kupfer verwendet wird, stellt eine Gesamtverbesserung in der Zuverlässigkeit von Halbleiterbauteilen bereit, weil Schaltkreise, welche höhere Stromdichten und/oder einen geringeren Widerstand gegenüber EM aufweisen, mit der Zeit die Tendenz aufweisen, Hohlräume oder Leiterbahnunterbrechungen in ihren metallischen Interconnects zu entwickeln. Diese Hohlräume oder Leiterbahnunterbrechungen können das Bauteil dazu veranlassen, im Einsatz oder während des Einbrennvorgangs vollständig auszufallen.
  • Jedoch führt die Integration von Kupfer in den IC-Herstellungsprozess auch zu neuen Problemen und ist hinsichtlich Zuverlässigkeit oder Massenproduktion keineswegs vollständig optimiert. Beispielsweise wurde herausgefunden, dass wenn Kupfer zu schnell in Öffnungen mit hohem Streckungsverhältnis unter Verwendung eines Galvanisierbads abgeschieden wird, an welches ein sehr hoher Strom oder ein sehr hohes Potential im Wesentlichen nur im DC-Modus (DC = "direct current"/Gleichstrom) angelegt wird, Probleme mit Hohlraumbereichen oder Aussparungen auftreten, die in dem Kupfer-Interconnect ausgebildet werden. Bei diesen Überzugsbedingungen schnürt das abgeschiedene Kupfer schließlich den oberen Abschnitt der Öffnung ab, um einen im Kupfer eingekapselten Luftbereich oder Hohlraum in dem schrittweise abgeschiedenen Kupferfilm zu erzeugen. Außerdem resultiert das Kupferüberziehen mit hoher Stromdichte im DC-Modus in hohen Abscheidungsraten und erzeugt einen Kupferfilm, der aufgrund schlechter Einbringung von Galvanisierfremdstoffen in den durch Überziehen ausgebildeten Cu-Film, einen verschlechterten Widerstand gegenüber Elektromigration (EM) hat.
  • Zusätzlich ist effektives Galvanisieren von Kupfer stark abhängig von der Qualität des darunter liegenden Films. Wenn der darunter liegende Kupferkeimfilm nicht gleichmäßig abgeschieden wird oder Bereiche mit unzureichendem Keimmaterial enthält, wird das Kupfer in diesen Bereichen nicht gleichmäßig abgeschieden und kann zu einer Verschlechterung hinsichtlich IC-Ausbeute und/oder IC-Zuverlässigkeitsaspekten führen. Typischerweise ist die Empfindlichkeit des Kupferüberziehens gegenüber der darunter liegenden Keimschichtqualität erhöht, wenn Überzugsmethoden mit niedrigem Gleichstrom mit geringem Durchsatz verwendet werden. Deshalb erscheinen unberücksichtigt dessen, ob Verfahren mit hohem oder niedrigem Gleichstrom ver wendet werden, eines oder mehr ernste Kupferüberzugsprobleme in der Endstruktur unvermeidbar.
  • Deshalb ist in der Halbleiterindustrie ein Verfahren zum Galvanisieren von Kupfer-Interconnects mit angemessenem Herstellungsdurchsatz erforderlich, während gleichzeitig eine verringerte oder eliminierte Hohlraumausbildung mit verbessertem Widerstand gegenüber Elektromigration (EM), eine verbesserte Gleichmäßigkeit und/oder ähnlichen Vorteilen sichergestellt werden.
  • Zusätzlich zu Galvanisierzuverlässigkeits-, -güte- und -ausbeuteproblemen, erfordern herkömmliche Verfahren zum Abscheiden von Kupfer im Allgemeinen, dass das Kupfer unter Verwendung einer Festzeitabscheidung auf das Substrat galvanisiert wird. Typischerweise wird unter spezifizierten Bedingungen ein Testwafer durch die Galvanisierkammer verarbeitet, um zu bestimmen, ob der Prozess innerhalb der Spezifikation oder den Steuergrenzen durchgeführt wird. Wenn das System innerhalb seiner Grenzen betrieben wird, kann der Festzeitabscheidungsprozess nachfolgender Produktwafer für eine gewisse Zeitspanne beginnen. Dieses Testwafer-Prozesssteuerverfahren geht davon aus, dass nachfolgende Produktwafer aufgrund der Annahme, dass die Abscheidungsbedingungen hoffentlich über die Zeit unverändert bleiben, innerhalb der Spezifikation oder innerhalb Steuergrenzen sind.
  • Das gesamte Verfahren des Verarbeitens von Testwafern, des Bestimmens von statischen Bedingungen, bei denen die Testwafer gute Ergebnisse erlangen, und des folgenden statischen Verarbeitens der Wafer unter diesen Bedingungen, in der Hoffnung des andauernden Erfolgs ist sowohl zeitraubend und unzuverlässig als auch teuer. Deshalb wäre es vorteil haft, Kupfergalvanisiersysteme bereitzustellen, welche in-situ den Endpunkt der Galvanisiervorgänge bestimmen, oder diese in Echtzeit überwachen könnten, so dass Galvanisiervorgänge während dem Überziehen dynamisch computergesteuert werden können, wobei die Testwafer-Verwendung und der Wafer-Ausschuss verringert wird, der Durchsatz weiter optimiert wird und die Filmgüte verbessert wird.
  • US 5,273,642 offenbart ein Gerät und ein entsprechendes Verfahren zum Galvanisieren von Wafern einschließlich des Abstützens einer Vielzahl von Wafern auf einer Stützplatte im Galvanisierbehälter, so dass eine Fläche von jedem Wafer von der elektrolytischen Reaktion mit einer Maske versehen wird. Eine programmierbare Steuerung wird verwendet, um die Wellenform, Frequenz und Zeitdauer des Stromes zu steuern, der während dem Galvanisierprozess zwischen jedem einzelnen Wafer und einer entsprechenden Anodenelektrode fließt. Die Spannung wird zwischen den Wafern und der Anodenelektrode überwacht, um sicherzustellen, dass während dem Galvanisierprozess eine passende elektrische Verbindung mit jedem einzelnen Wafer aufrechterhalten wird. US 5,273,642 offenbart nicht die Verwendung von negativem Pulsieren, das eine zeitweilige Galvanisierprozessumkehr verursacht.
  • GB-A-2 214 520 offenbart die Anwendung von zumindest zwei unabhängig gesteuerten Spannungen im Wechsel, wobei die Einrichtung zumindest zwei unabhängig gesteuerte elektrische Stromversorgungen und Schalteinrichtungen aufweist, um sie abwechselnd an eine Zelle anzulegen, in der ein Werkstück und eine andere Elektrode aufgehängt sind. Eine der Spannungen kann umgekehrt werden und eine kann gepulst werden.
  • Zusammenfassung der Erfindung
  • In einem ersten Aspekt der vorliegenden Erfindung wird ein Verfahren zum Ausbilden einer Kupferschicht über einem Wafer gemäß Anspruch 1 bereitgestellt.
  • Weitere Aspekte sind in den abhängigen Ansprüchen beansprucht.
  • Kurze Beschreibung der Zeichnungen
  • Die Merkmale und Vorteile der vorliegenden Erfindung werden aus der folgenden detaillierten Beschreibung in Zusammenschau mit den beigefügten Figuren leichter verständlich, wobei sich in den Figuren gleiche Bezugszeichen auf ähnliche oder entsprechende Bauteile beziehen und wobei in den Figuren folgendes dargestellt ist:
  • 1 veranschaulicht in einem gemischten Querschnitts- und Elektro-Blockschaubild eine Galvanisierkammer und ihr zugehöriges Computersteuersystem, das verwendet wird, um Kupfermaterial auf einen Halbleiter-Wafer zu galvanisieren.
  • 2 veranschaulicht in einer Draufsicht die Kathodenbaugruppe (d.h. Wafer, Drehtisch und Klemmen) aus 1.
  • 37 veranschaulichen in Querschnittsschaubildern ein Verfahren zum schrittweisen Galvanisieren von Kupfer innerhalb einer Doppel-Inlay-Struktur unter Verwendung des Systems aus 12.
  • 313 veranschaulichen mit verschiedenen X-Y-Zeitverläufen verschiedene Verfahren, durch welche die Kathodenbaugruppe der Galvanisierkammer aus 1 während dem Galvanisieren von Kupfer gesteuert werden kann, um die Qualität der Kupfer-Interconnects gegenüber dem, was im Stand der Technik gelehrt wird, zu verbessern.
  • Detaillierte Beschreibung eines bevorzugten Ausführungsbeispiels
  • Allgemein offenbart ist ein Verfahren zum Steuern einer Kathode und/oder Anode einer Kupfergalvanisierkammer zum Verbessern der Qualität eines Kupfer(Cu oder Cu-Legierung)-Interconnect, das auf einem Halbleiter-Wafer mittels Kupfergalvanisiervorgängen ausgebildet wird. Es wurde herausgefunden, dass verschiedene Sequenzen an gepulsten positiven und/oder negativen Strom-Wellenformen zusammen mit dem Einbezug von verschiedenen optionalen DC-Vorspannungszeitspannen (DC = "direct current"/Gleichstrom), die an eine Kathode und/oder Anode einer Kupfergalvanisierkammer zugeführt werden, einen nachteiligen Effekt auf eine oder mehrere der folgenden Eigenschaften haben kann: Kupfergleichmäßigkeit, Kupferwiderstand gegenüber Elektromigration (EM), Überzugsdurchsatz, Kupferhohlraumbildung, Kupferkornstruktur und/oder ähnlichen.
  • Das verbesserte Kupfergalvanisierverfahren das hier gelehrt wird, kann hierbei besser mit spezifischer Bezugnahme auf 113 verstanden werden.
  • 1 veranschaulicht eine Schnittdarstellung eines Galvanisiersystems oder einer Galvanisierkammer 10. Die Kammer 10 ist an eine Blockdiagrammdarstellung eines Computersteuersystems 34 gekoppelt, das verwendet wird, um den Betrieb der Kammer 10 elektrisch, chemisch und mechanisch zu steuern. Das System 10 umfasst eine Kammerbaugruppe oder ein Gehäuse 11. Das Gehäuse 11 hat eine oder mehr Auslassöffnungen 22, um mit der Zeit überschüssiges Galvanisierfluid aus der Kammer 10 abzulassen. Das System 10 hat des Weiteren einen inneren Becher 12, der eine Einlassöffnung 24 zur Aufnahme von eintretendem Überzugfluid 19 hat, welches ein oder mehrere Elemente aus den folgenden Elementen enthalten kann: Elementenaufheller, Träger, Nivellierer und/oder ähnliche Überzugadditive. Der Becher 12 kann einen Zentraldiffusor 13 enthalten. Der Diffusor 13 wird im Allgemeinen verwendet, um irgendeine elektrische Isolation zwischen einer Anode 14 und einem Wafer 20 oder einer Kathodenbaugruppe der Kammer 10 vorzusehen. Die Kathode in 1 umfasst insgesamt eines oder mehrere Elemente aus: dem Wafer 20, den Klemmen 18 und/oder dem Drehtisch 16. Zusätzlich kann der Diffusor 13 verwendet werden, um Fluidturbulenzen gegen den Wafer 20 zu verringern und um die Verunreinigungs-/Konzentrationsgleichmäßigkeit oder Verteilung in der Lösung 19 zu verbessern.
  • Die Anode 14 liegt zwischen den Bodenabschnitten des Bechers 12 und dem Diffusor 13 und wird von einer Stromversorgung, die in 1 nicht spezifisch dargestellt ist, energiebeaufschlagt. Zu beachten ist, dass die Steuerung sowohl der Kathode als auch der Anode in 1 vom gleichen Computer 34 oder von verschiedenen Computern durchgeführt werden kann. Während die Anode 14 im Allgemeinen während den Überziehvorgängen durch statisches DC-Vorspannen gesteuert wird, kann die Anode 14 auch durch gepulsten Gleichstrom (DC) gesteuert werden oder kann im Zeitverlauf während den Galvanisierzeitspannen auch zwischen DC und/oder gepulstem Strom (I) oder gepulster Spannung (V) verändert werden, um die Galvanisierraten (d.h. den Durchsatz) zu verändern, Kupferfilmkerngröße zu beeinflussen und/oder andere Eigenschaften des galvanisierten Kupferfilms oder Prozesses abzuändern. Zu beachten ist, dass DC-Leistung, Spannung und Strom hierin abwechselnd verwendet werden können, da all diese Faktoren irgendwie mit Leistung verbunden sind.
  • Deshalb ist es wichtig zu beachten, dass obwohl hier hauptsächlich die elektrische Steuerung der Kathode gelehrt wird (siehe 813), solche Techniken generell unter gewissen Umständen auch bei der Anode aus 1 angewendet werden können. Das System 10 enthält des Weiteren einen Kopf 15, der einen Drehtisch 16 und einen oder mehrere Klemmfinger oder Ringe 18 enthält. Die gesamte Baugruppe (einschließlich einem oder mehrerer Elemente aus: Wafer 20, Klemmen 18, und/oder Tisch 16) wird im System 10 als Kathode energiebeaufschlagt. Komponenten von Kathodenbaugruppen sind typischerweise, wo immer möglich, für solche Vorspannungszwecke aus Platin oder platiniertem Titan hergestellt. Der Drehtisch 16 ist im Allgemeinen maschinen-, computer- und/oder motorgesteuert, um während dem Überziehen eine Waferdrehung zuzulassen, aber solch eine Drehung ist während einiger Überziehvorgänge nicht immer erforderlich.
  • Die Kathode (d.h. eines oder mehrere Elemente aus: Wafer 20, Klemmen 18 und/oder Drehtisch 16) wird unter Verwendung des Steuersystems 34 aus 1 energiebeaufschlagt und/oder elektrisch überwacht. Das Steuersystem 34 ist irgendeine Art an Computer-Steuervorrichtung, aber enthält im Allgemeinen eine zentrale Recheneinheit (CPU) 26 irgendeiner Art. Die CPU 26 steuert die Schalt- oder Logikeinheit 32, welche wiederum steuert wie und wann Strom und/oder Spannung (d.h. Leistung) von einer oder mehreren Stromversorgungen 28 an die Kathode geliefert wird. Die Stromversorgungen 28 (welche eine oder mehrer Versorgungen sein können) können DC-Niveaus (DC = "direct current"/Gleichstrom) an Leistung oder gepulste DC-Wellenformen über einen breiten Bereich an Strom- und/oder Spannungsniveaus liefern. Zusätzlich können die Stromversorgungen 28 so entworfen sein, dass sie AC-Wellenformen (AC = "alternating current"/Wechselstrom) einschließlich (aber nicht auf diese begrenzt) Sägezahn-Wellenformen, Sinus-Wellenformen, logarithmische Wellenformen, exponentielle Wellenformen oder jede andere Art an Leistungs-/Zeit-Steuerung, an die Kathode aus 1 liefern. Zusätzlich können die Versorgungen 28 Rechteck-Wellenformen liefern, die Ein-Zeiten und Aus-Zeiten verschiedener Leistungsbeträge und/oder verschiedener Tastverhältnisse haben. Des Weiteren kann die Versorgung 28 jedes) dieser Wellenformen oder Strom-/Spannungs-/Leistungs-Niveaus in entweder einer negativen oder positiven Polarität oder einer Kombination daraus liefern. Solch eine Leistung wird über die Versorgungen 28 an die Klemmen 18 aus 1 geliefert.
  • Die selben Klemmen 18, welche verwendet werden, um Leistung zuzuführen, können auch die selben Klemmen sein, welche gelegentlich an die Endpunkt-Erfassungssensoren gekoppelt sind, um eine Galvanisierüberwachung durchzuführen. In einer anderen Form können bestimmte Endpunkt-Klemmen oder -Sonden 18 verwendet werden, die zur Steuerung nur mit dem Schaltkreis 30 und nicht mit den Versorgungen 28 gekop pelt sind, um periodisch oder kontinuierlich eine elektrische Eigenschaft des Wafers 20 zu überwachen, so dass eine In-situ-Galvanisiersteuerung bereitgestellt wird, während andere Klemmen 18, welche an die Versorgungen 28 gekoppelt sind, um die Galvanisier-Vorspannung des Wafers 20 durchführen.
  • Als ein Beispiel wie die Vorrichtung 30 über die Klemmen 18 oder einen anderen Kontaktmechanismus fungiert, können beispielsweise die Sensoren 30 oder die dabei gesteuerten Versorgungen eine feste Spannung an den Wafer 20 anlegen und einen resultierenden Strom messen. Die daraus resultierenden I-V-Daten können verwendet werden, um den Widerstand R des schrittweise abgeschiedenen Cu-Films zu bestimmen, wobei R-Schätzungen über R = l/A in Beziehung mit der Dicke des Cu-Films gesetzt werden können. Da I und V verwendet werden können, um R zu bestimmen, weil die Leitfähigkeit oder die Umkehrfunktion des spezifischen Widerstands Cu bekannt ist, und da die effektive Länge (l) zwischen irgendwelchen zwei Endpunkt-Messklemmen 18 durch die Kammerkonstruktion festgelegt ist, ist A die einzige Variable. A ist der Querschnittsbereich durch den der Strom strömen wird und ist eine direkte Funktion der Dicke (t) des abgeschiedenen Cu-Films. Wenn A ansteigt, ist solch ein Anstieg daher durch eine entsprechende Erhöhung der Dicke bedingt, wobei R mit A und dann gegenüber mit der Dicke (t) in Zusammenhang gebracht werden kann, um eine Endpunkterfassung zu verwirklichen.
  • In einer anderen Form können die Schaltkreise 30 einen festgelegten Strom (I) an die Wafer 20 anlegen und eine resultierende Spannung (V) messen. Solche I-V oder V-I-Prozesse können mit der Stromversorgung 28 in einige Klem men 18 gemultiplext werden oder können gegenüber bestimmten separaten Endpunktklemmen 18 festgelegt sein. Durch Messen einiger elektrischer Eigenschaften des Wafers 20 im Zeitverlauf kann die Einheit 30 exakt bestimmen, wann der Endpunkt des Galvanisierprozesses zu setzen ist, da die Dicke des leitenden abgeschiedenen Cu-Films auf dem Wafer mit der Zeit leicht mit dem gemessenen Wafer-Widerstand oder anderen gemessenen elektrischen Eigenschaften in Verbindung gebracht werden kann. Zusätzlich kann der Wafer 20 aus verschiedenen Richtungen quer über die Oberfläche des Wafers oder zwischen mehreren verschiedenen Paaren an separat angeordneten Klemmen 18 überwacht werden, wobei eine zweidimensionale Widerstandstabelle (und folglich eine 2-D-Tabelle der galvanisierten Dicke) mittels des Computers quer über den Wafer erlangt werden kann, um während dem Cu- oder Cu-Legierungsgalvanisieren Echtzeit-Gleichmäßigkeitsdaten zu erzeugen. Solche Gleichmäßigkeitsinformationen können von der CPU 26 verwendet werden, um die Leistung 28 in-situ zur Abscheidung zu optimieren, um die Gleichmäßigkeit zu verbessern, oder die Gleichmäßigkeitsdaten können verwendet werden, um bei einer Maschine anzuzeigen, dass bei Gelegenheit eine Wartung oder technische Reparatur erforderlich ist.
  • Deshalb tritt während des Betriebs des Systems 10, die Überzugslösung 19 durch die Einlassöffnung 24 in den Becher 12 ein und über die Auslassöffnung 22 aus. Die Anode 14 wird oxidiert und die Kathode wird durch Signale, die vom Steuersystem 34 geliefert werden, mit Kupfer überzogen. Während dem Überziehen steuert das Steuersystem 34 die Kathoden- und/oder Anodenleistung (d.h. durch wahlweises Ändern der Zufuhr von Strom und/oder Spannung im Zeitablauf), um die Qualität und Eigenschaften des abgeschiedenen Kupfers auf dem Wafer 20 zu verbessern. Die Endpunkterfassung und weitere Steuerung des Überziehprozesses kann auch in einer In-situ-Weise unter Verwendung der Endpunkt-Sensoren und des Schaltkreises 30 durchgeführt werden.
  • Insgesamt werden die Anode 14, die Klemmfinger 18 und der Substrat-Wafer 20 (d.h. Kathode) unter Verwendung eines oder mehrerer Steuersysteme 34 vorgespannt, um das Substrat 20 mit Kupfer zu überziehen, wobei das Verfahren zum Vorspannen der Elemente 14, 18 und/oder 20 mittels des Computersystems 34 eine signifikante Auswirkung auf eine oder mehrere Eigenschaften aus: Wafer-Durchsatz, Verringerung oder Eliminierung von Kupferhohlraumbildung, Verringerung von Cu-Abschnürungen, verbesserte Filmgleichmäßigkeit und/oder verbesserten Widerstand gegenüber Elektromigration (EM). Im Allgemeinen wurde herausgefunden, dass verbesserte Filmeigenschaften, verbesserte Zuverlässigkeit und/oder erhöhte Leistungsfähigkeit das Ergebnis waren, wenn eine oder mehrere der Galvanisierkathoden-Vorspannungstechniken verwendet wurden, die hier nachfolgend mittels der 813 erläutert werden.
  • 2 veranschaulicht eine Draufsicht einer Kathodenbaugruppe, die zuvor in 1 in einer geschnittenen Weise dargestellt wurde. 2 zeigt den Wafer 20, den Drehtisch/die Kopfplatte 16 und sechs Klemmen 18. Während 2 sechs Klemmen darstellt, sollte ersichtlich sein, dass es möglich ist, einen einzigen großen Klemmring anstatt der sechs Fingerklemmen aus 2 zu verwenden. Es ist auch möglich, jegliche Anzahl an Fingerklemmen (z.B. drei, zehn, vierzehn, einhundert, usw.) um eine Umfangfläche des Wafers 20 aus 2 zu verwenden. 2 zeigt, dass Endpunkt- Elektrodaten zwischen zwei oder mehr beliebigen der sechs Klemmen aus 2 erlangt werden können, um eine zweidimensionale Überzugsgleichmäßigkeitstabelle abzuleiten, die repräsentativ für die Dicke des Kupfers ist, welches an verschiedenen Punkten oder Bahnen entlang des Wafers 20 über die Zeit ausgebildet wird. Es ist verständlich, dass je mehr Klemmen an verschiedenen Positionen verwendet werden, desto höher die Auflösung ist, die von der Gleichmäßigkeitszuordnung erzeugt werden kann. 12 veranschaulichen eine Bauart eines Galvanisiersystems, wobei viele verschiedene Aufbauten oder Elemente zu dem System aus 12 hinzugefügt oder von diesem entfernt werden können, während die 12 immer noch in Verbindung mit der Lehre der 313 angemessen verwendet werden können.
  • Im Allgemeinen kann das System, welches mittels der 12 dargestellt ist, verwendet werden, Kupfer oder ein anderes metallisches Material mit der Zeit auf den Wafer 20 zu galvanisieren, wie in den 37 dargestellt. Solch ein Kupfer- oder Metallüberziehen kann verwirklicht werden, indem die Kathode aus 1 (und/oder selbst die Anode 14 aus 1) unter Verwendung einer oder mehrerer der Techniken, die hier bezüglich der 813 beschrieben werden, energiebeaufschlagt wird. Ein spezifisches Verfahren, welches verwendet werden kann, um verbesserte, Einzel-Inlay- und/oder Doppel-Inlay-Kupfer-Interconnects über einen Halbleiter-Wafer auszubilden, kann des Weiteren unter folgender detaillierter Bezugnahme auf die 313 verstanden werden.
  • Die 37 veranschaulichen in Schnittschaubildern einen Doppel-Inlay-IC-Aufbau (IC = "integrated circuit"/integrierter Schaltkreis), der im Zeitablauf durch Kupfer galvanisiermaterial ausgebildet wird. Solches Galvanisieren wird durch Verwenden des Gerätes, das in den 1 oder 2 veranschaulicht ist oder in einem ähnlichen Gerät verwirklicht. 3 veranschaulicht einen Halbleiteraufbau 100 (z.B. welcher lediglich ein Aufbau aus vielen ist, die über einen größeren Wafer 20 ausgebildet werden). Der Halbleiteraufbau 100 umfasst eine oder mehrere Basisschichten 102. Die Basisschichten 102 enthalten typischerweise ein Substrat, das bevorzugter Weise ein Halbleiter-Wafer ist. Das Substratmaterial, welches als Boden- oder Basisabschnitt der Schicht 102 aus 3 vorgesehen ist, ist im Allgemeinen eines oder mehrere Materialien aus: Silizium, Germanium-Silizium, Galliumarsenid, Germanium, andere III-V-Zusammensetzungen, Siliziumkarbid, SOI-Materialien (SOI = "silicon on insulator"/Silizium-auf-Isolator) oder ähnliche Substratmaterialien.
  • Über dieses Substratmaterial ist ein Bereich ausgebildet, der typischerweise eine Mischung aus leitfähigen, halbleitfähigen und/oder elektrischen Schichten umfasst, welche photolithographisch mit einem Muster versehen sind und wahlweise geätzt sind, um Halbleiterbauteile über und/oder in dem Substratmaterial auszubilden. Beispielsweise kann der Bereich eine oder mehrere verschiedene Oxid- und/oder Nitridschichten umfassen, wie beispielsweise Siliziumnitrid, Siliziumdioxid, TEOS-Glas (TEOS = tetraethylorthosilicate"/Tetraethylorthosilicat), Borophosphorsilicat-Glas (BPSG), Spin-on-Glases (SOGs), Material mit niedrigem k, Xerogel und/oder Ähnliche. Der Bereich kann auch Halbleiterschichten umfassen, wie beispielsweise Germaniumsilizium, Polysilizium, amorphes Silizium, dotiertes Polysilizium und ähnliche Materialien. Zusätzlich zu diesen potentiellen Schichten, kann der Mehrschichten-Bereich ebenso leitende oder metallische Schichten umfassen, wie beispielsweise refraktäres Silizium, refraktäre Metalle, Aluminium, Kupfer, Wolfram, Legierungen dieser Materialien, leitende Nitride, leitende Oxide, oder ähnliche Metallstrukturen.
  • Zwei solcher metallischer Interconnect-Strukturen 104 sind in 3 über der Schicht 102 angeordnet veranschaulicht. In einer Form sind die Bereiche 104 Inlay-Kupfer-Interconnects, die für die Vorrichtung 100 aus 3 eine leitende Verbindung ausbilden. In anderen Formen kann die Schicht 104 ein oder mehrere Bereiche aus Aluminium und/oder Wolfram sein. Im Allgemeinen sind die Interconnects 104 so positioniert, dass sie verschiedene aktive und/oder passive elektrische Komponenten elektrisch verbinden, die innerhalb oder unterhalb der Schichten 102 aus 3 ausgebildet sind.
  • Über den Schichten 102 und 104 ist eine Ätzstoppschicht 106. Die Ätzstoppschicht ist im Allgemeinen eine Siliziumnitridschicht, eine Oxinitridschicht oder eine siliziumreiche Siliziumnitridschicht. Über der Ätzstoppschicht 106 ist ein ILD-Abschnitt 108 (ILD = "interlevel dielectric"/dazwischen befindlich und dielektrisch), der im Allgemeinen ausbildet ist aus einem oder mehreren Materialien aus: TEOS-Glas (TEOS = tetraethylorthosilicate"/Tetraethylorthosilicat), fluordotiertes TEOS (f-TEOS), Ozon-TEOS, Phosphorsilicat-Glas (PSG), Borophosphorsilicat-Glas (BPSG), dielektrische Materialien mit niedrigem k, Nitride, Spin-On-Gläser (SOG), oder Zusammensetzungen davon. Über der Schicht 108 ist eine zweite Ätzstoppschicht 110, die auch als Anti-Reflektions-Beschichtung (ARC) fun gieren kann und im Allgemeinen aus dem gleichen Material hergestellt ist, wie vorstehend für Schicht 106 beschrieben. In einer Form sind die Schichten 106 und 110 eine Zusammensetzung aus Silizium-Oxinitrid, Siliziumnitrid, siliziumreiches Siliziumnitrid und/oder ähnliches dielektrisches Material. Über der Schicht 110 ist eine andere ILD-Schicht 112, die ähnlich zur Schicht 108 ist, die vorstehend beschrieben wurde.
  • 3 veranschaulicht, dass die Schichten 106 bis 112 lithographisch mit Mustern versehen sind und durch einen oder mehrere lithographische Musterausbildungs- und Ätzprozesse geätzt werden, um eine Inlay- oder Doppel-Inlay-Struktur auszubilden. 3 veranschaulicht insbesondere eine Doppel-Inlay-Struktur, in der zumindest zwei Vias durch die Schicht 108 ausgebildet sind und eine oder mehrere Grabenbereiche durch die Schicht 112 ausgebildet sind, wobei der Grabenbereich in der Schicht 112 die Verbindung zwischen den zwei Vias in der Schicht 108 aus 3 herstellt. Die Doppel-Inlay-Grabenstruktur aus 3 hat eine untere Grabenfläche 117, eine obere dielektrische Fläche 115, welche eine obere Fläche der dielektrischen Schicht 112 ist, und eine untere Via-Fläche 119, welche eine freigelegte obere Fläche der Metall-Interconnect-Bereiche 104 ist.
  • 3 veranschaulicht, dass eine Barriereschicht 114 innerhalb der Doppel-Inlay-Grabenstruktur und über der oberen dielektrischen Fläche 115 ausgebildet ist. Im Allgemeinen ist die Schicht 114 eine Schicht mit einer Dicke, die kleiner als 1000 Angströms (1 Å = 0,1 nm) ist und im Allgemeinen aus irgendeinem Material hergestellt ist, welches Kupfer daran hindern kann, nachteilig in die benachbarten die lektrischen Bereiche 112 und 108 zu diffundieren. Insbesondere wurden Materialien als Kupferbarriereschichten einschließlich Tantalnitrit (TaN), Titannitrid (TiN), Titanwolfram (Ti/W), und Zusammensetzungen davon und/oder ähnliche Materialien verwendet. In einigen Fällen können die dielektrischen Materialien 108 und 112 so ausgewählt und oberflächenverarbeitet werden, dass überhaupt keine Barriereschicht erforderlich ist oder dass ein Oberflächenabschnitt der Schichten 108 und 112 selbst als eine Barriere fungiert.
  • 3 veranschaulicht, dass eine Kupfer-, Metall- oder Kupferlegierungskeimschicht 116 über einer Oberseite der optionalen Barriereschicht 114 ausgebildet ist. In einer Form kann die Schicht 116 eine Kupferschicht sein, die durch Zerstäuben oder physikalische Dampfabscheidung (PVD) ausgebildet wird. In einer anderen Form kann die Schicht 116 unter Verwendung von chemischer Dampfabscheidung (CVD) ausgebildet werden. In einigen Fällen kann eine Kombination aus einem PVD-Prozess und einem CVD-Prozess verwendet werden. In einigen Fällen ist die Schicht 116 im Allgemeinen eine Schicht, welche Kupfer aufweist und typischerweise eine Dicke von weniger als 2500 Angströms hat. Zu beachten ist, dass andere Techniken, wie beispielsweise stromloses Überziehen, verwendet werden können, um die Keimschicht 116 aus 3 auszubilden.
  • Wie in 3 veranschaulicht ist es wahrscheinlich, dass ein gängiger PVD-Prozess eine Keimschicht 116 ausbildet, welche nicht vollständig gleichmäßig oder konform über alle freigelegten Flächen und Ecken der Doppel-Inlay-Interconnect-Struktur ist. Experimentieren hat gezeigt, dass eine PVD-Keimschicht 116 typischerweise Keim verarmungsbereiche 116a, wie in 3 veranschaulicht, enthält. Diese Verarmungsbereiche 116a sind Bereiche der freigelegten Interconnect-Fläche, welche wenig oder kein Keimmaterial enthalten. Wenn der Bereich 116a kein Keimmaterial enthält, gibt es typischerweise diskontinuierliche Knötchen oder Inseln an Kupfer, die zumindest teilweise voneinander segmentiert sind. Die Erfahrung hat gezeigt, dass die Keimverarmungsbereiche 116a am wahrscheinlichsten an einer Seitenwand auftreten, die zwischen der unteren Grabenfläche 117 und der unteren Via-Fläche 119 angeordnet ist, wie in 3 dargestellt.
  • 3 veranschaulicht auch dünnere Keimabschnitte 116b. Die dünneren Keimbereiche 116b sind im Allgemeinen Bereiche der Keimschicht, welche kontinuierliche Filme sind (keine Inseln oder Knötchen wie im Bereich 116a), aber dünner als die meisten Abschnitte der Keimschicht über der oberen dielektrischen Fläche 115. Die Bereiche 116b treten im Allgemeinen an Seitenwandabschnitten der Grabenstruktur zwischen der dielektrischen oberen Fläche 115 und der unteren Via-Fläche 119 und/oder an Seitenwandabschnitten zwischen der unteren Grabenfläche 117 und der unteren Via-Fläche 119 auf. Zusätzlich wurde herausgefunden, dass der Keimschichtabschnitt, der die untere Bodenfläche 119 bedeckt, typischerweise dünner als die Dicke der Keimschicht ist, die über der oberen dielektrischen Fläche 115 ausgebildet ist. 3 veranschaulicht ebenso, dass dickere Keimabschnitte und dicke Eckabschnitte 116c in der PVD-Keimschicht 116 ausgebildet werden können.
  • Im Allgemeinen kann die Ausbildung der Bereiche 116a, 116b und 116c nachfolgende Galvanisierverarbeitungen verkomplizieren, wie nachfolgend hier mittels der 4-7 dargestellt wird. Es wurde herausgefunden, dass unterschiedliche Verfahren zum Vorspannen der Kathode eines Galvanisiersystems die verschiedenen nachteiligen Folgen verhindern können, die aus dem Vorhandensein dieser Bereiche 116a, 116b und 116c aus 3 resultieren können. Die spezifischen Strom-, Spannungs- und/oder Leistungs-Wellenformen, die aufgezeigten, dass sie die Beschichtungsnachteile verringern, die aus den Bereichen 116a, 116b und 116c resultieren, werden nachfolgend insbesondere veranschaulicht und bezüglich der 813 beschrieben.
  • Nach dem Ausbilden der Struktur 100, die in 3 veranschaulicht ist, wird der Wafer 20 (welcher die Struktur 100 aufweist) in dem System 10 angeordnet, welches in den 1 und 2 dargestellt ist. Dieser Wafer 20 wird dann der Galvanisierlösung 19 ausgesetzt, wie in 1 dargestellt. Während dem Aussetzen an die Lösung steuert das Steuersystem 34 (über eine oder mehrere Klemmen 18) den Betrag der Leistung, des Stroms oder der Spannung, die/der zum Wafer 20 zugeführt wird, wie in einer oder mehreren aus den 813 oder irgendeiner Kombination dieser dargestellt wird, um die Qualität des galvanisierten Kupferfilms zu verbessern, der während der 47 stufenweise über dem Wafer 20 ausgebildet wird.
  • Insbesondere veranschaulicht 4 die Anfangsphasen eines Kupfergalvanisiervorgangs der über die Oberflächen der Doppel-Inlay-Grabenstruktur hinweg durchgeführt wird, welche in 3 veranschaulicht ist. In 4 wurde ein Galvanisierprozess mit hoher Leistungszufuhr verwendet, um eine Cu-Zuwachsschicht (Cu = Kupfer) 118a auf den Wafer 20 zu galvanisieren. Der Galvanisierprozess mit hoher Leistungszufuhr erlangt die Ergebnisse, wenn 4 ein relativ hohes Leistungsniveau an die Kathode (Wafer 20) des Systems 10 aus den 12 für eine Anfangszeitspanne, während dem Galvanisieren, liefert. Der Galvanisierprozess mit hoher Leistungszufuhr hat eine hohe Kupferabscheidungsrate auf eine Fläche der Keimschicht 116, wie in 3 dargestellt, zur Folge. Zusätzlich zu hohen Abscheidungsraten erleichtert der Abscheidungsprozess mit hoher Leistungszufuhr das Überziehen auf den Keimverarmungsbereichen 116a (siehe 3), an denen keine Keimschicht oder ein wesentlich verringerter Keimschichtgehalt vorhanden ist. Es wurde experimentell gezeigt, dass ein Galvanisierprozess mit niedriger Leistungszufuhr diese Keimverarmungsbereiche 116a aus 3 nicht angemessen überzieht (d.h. niedrig Überziehen mit niedriger Leistungszufuhr überzieht diese Bereiche nicht in einer akzeptablen Rate), wobei Hohlräume in den Kupfer-Interconnects ausgebildet werden. Deshalb werden hier anfängliche Galvanisierzeitspannen mit hoher Leistungszufuhr verwendet, um die Qualität der Kupfer-Interconnects bei der Anwesenheit der Keimverarmungsbereiche 116a aus 3 zu verbessern, sowie den Durchsatz über höhere Raten an anfänglicher Cu-Abscheidung zu verbessern. Zu beachten ist, dass die Keimschicht 116 und die neu galvanisierte Kupferschicht 118a durch eine gestrichelte Linie getrennt sind, die anzeigt, dass die Verbindungsstelle zwischen der Keimschicht und der darüber befindlichen Galvanisierschicht manchmal in einem SEM-Querschnitt (SEM = "scanning electron microscope"/Scanelektronenmikroskop) nicht identifizierbar ist, insbesondere wenn die Keimschicht 116 Kupfer und die galvanisierte Schicht 118 ebenfalls Kupfer ist.
  • Trotz der vorstehenden Vorteile von Galvanisierzeitspannen mit hoher Leistungszufuhr bringen die Überzug-Zeitspannen mit hoher Leistungszufuhr nicht genügend Fremdstoffe, wie beispielsweise Stickstoff, Kohlenstoff und Schwefel in den Cu-Film ein, um ein Widerstandniveau gegenüber Elektromigration (EM) zu verbessern oder ein angemessenes Widerstandsniveau gegenüber Elektromigration (EM) bereitzustellen. Deshalb ist der Bereich 118a aus 4 wahrscheinlich nicht so widerstandsfähig gegenüber EM wie gewünscht. Zusätzlich wird, wie in 4 veranschaulicht, wenn eine Überzugs-Zeitspanne zu lange aufrechterhalten wird, führt die Anwesenheit der dickeren Bereiche 116c aus 3 schließlich in Abschnürungen oder in Hohlräumen innerhalb der Kupfer-Interconnect-Struktur. Mit anderen Worten ausgedrückt, zeigte das Galvanisieren mit hoher Leistungszufuhr, dass es auf den Oberflächen 116c so schnell eine Überzug ausbildet, dass die zwei benachbarten Bereiche 116c in 3 zusammengeführt werden bevor die Vias gefüllt werden, wodurch Abschnürungen auftreten. Abschnürungen erzeugen Luftspalten oder Hohlräume in der Interconnect-Struktur nahe der Via-Bereiche. Deshalb wurde bestimmt, dass Galvanisieren von Kupfer unter Verwendung von Zyklen mit hoher Leistungszufuhr, während die Tendenz bestand den Durchsatz zu verbessern und die Anwesenheit von Keimverarmungsbereichen 116a zu kompensieren, an den Abschnürungen und Hohlräumen sowie verringertem EM-Widerstand litt, wenn solch ein Prozess mit hoher Leistungszufuhr für eine zu lange Anfangszeitspanne aufrechterhalten wurde.
  • Da man die Erfahrung gemacht hat, dass man mit dem Galvanisierprozess mit höherer Leistungszufuhr auf Problem stößt, wurde Galvanisieren mit niedriger Leistungszufuhr untersucht, um zu bestimmen, ob dies die vorstehenden Probleme lösen kann. Es wurde experimentell herausgefunden, dass die Galvanisierprozesse mit niedriger Leistungszufuhr, die am Anfang des Galvanisierprozess verwendet wurden (als Initiierungsschritt bezeichnet) nicht in der Lage waren, die Keimverarmungsbereiche 116a aus 3 angemessen zu überziehen, aber die Fremdstoffeinbringung (z.B. Schwefel, Stickstoff, Kohlenstoff, usw.) in den Kupferfilm verbessern, wodurch der Widerstand gegenüber Elektromigration (EM) im endgültigen Kupferfilm verbessert wird. Deshalb kann der Anfangsprozess mit niedriger Leistungszufuhr vorteilhaft sein, wenn die Anwesenheit von Verarmungsbereichen 116a bei der Keimsausbildung vollständig vermieden werden kann. Solche Bereiche 116a können vollständig vermieden werden, wenn die Keimschicht 116 keine PVD-Schicht, sondern eher eine PVD-Keimschicht ist. Deshalb sind Galvanisierinitiierungsvorgänge mit niedriger Leistungszufuhr in einigen Fällen vorteilhaft, insbesondere wenn CVD-Keimschichten verwendet werden, bei denen die Verarmungsbereiche 116a aus 3 stark verringert auftreten.
  • Unter gewissen Umständen liefern, im Gegensatz zu den vorstehenden Vorteilen des Galvanisierens mit niedriger Leistungszufuhr, Zeitspannen mit niedriger Leistungszufuhr innerhalb der Anfangsstadien des Galvanisierens keinen angemessenen Wafer-Durchsatz durch das System aus 1. Obwohl darüber hinaus die Abscheidungsrate des Prozesses mit niedriger Leistungszufuhr niedriger ist als die eines Prozesses mit hoher Leistungszufuhr, ist die Abscheidungsgleichmäßigkeit über die Bereiche 116c nicht über das hinaus verbessert, was in 4 veranschaulicht ist. Deshalb können sowohl die Galvanisierprozessschritte mit niedriger Leistungszufuhr als auch jene mit hoher Leistungszufuhr, aufgrund der Anwesenheit der Bereiche 116c in
  • 3 gelegentlich in Abschnürungen und Hohlräumen innerhalb des Inlay-Via-Bereichs resultieren. Daher wurde herausgefunden, dass ein Galvanisierprozess, der Galvanisierprozesse mit niedriger Leistungszufuhr und mit hoher Leistungszufuhr während der Abscheidung abwechselt, die Vorteile der hohen Leistungszufuhr, nämlich die des Überwindens der Verarmungsbereiche 116a und des Verbesserns des Durchsatzes, als auch die Vorteile der niedrigen Leistungszufuhr, nämlich der Eigenschaften eines verbesserten Widerstandes gegenüber EM, aufweist. Jedoch führen die Interconnects, die durch kombinierte Galvanisierprozesse mit niedriger/hoher Leistungszufuhr ausgebildet wurden, potentiell immer noch zu Abschnürungen. Es wurde somit erkannt, dass eine verbesserte Kupfer-Interconnect-Struktur durch Kombinieren verschiedener Zyklen hoher Leistung mit verschiedenen Zyklen niedriger Leistung ausgebildet werden kann, wodurch der Durchsatz, der Widerstand gegenüber Keimverarmungsbereichshohlräumen und der EM-Widerstand (EM = "electromigration"/Elektromigration) im Zeitablauf ausbalanciert oder optimiert werden kann, während die Hohlraumbildung immer noch problematisch war. Deshalb wurde erkannt, dass weitere Modifikationen beim Überziehprozess erforderlich sind, um die Probleme der Hohlraumbildung, die selbst nach dem Anwenden eines gemischten Hoch-/Niederleistungs-Überziehzyklus aus dem Abschnüren resultieren, zu lösen.
  • 4 veranschaulicht, dass obwohl abwechselnde, positive Hoch- und Niederleistungszyklen verwendet werden, um das Galvanisieren zu initiieren, immer noch die Wahrscheinlichkeit besteht, dass Hohlräume über den Bereichen 116c auftreten. Um solche Hohlraumbildungen zu eliminieren oder zu verringern, wurde herausgefunden, dass negativ gepulste Leistung (entweder über Wechselstrom (AC), gepulsten Gleichstrom (DC) oder Gleichstrom (DC)) einmal oder öfters mit verschiedenen Intervallen zwischen den vorstehend beschriebenen positiven Hoch- und Niederleistungszyklen an den Wafer 20 aus 1 angelegt werden sollten. Durch periodisches oder gelegentliches Anlegen irgendeines negativen Leistungszykluses an den Wafer 20 wurde herausgefunden, dass abschnürungsgefährdete Bereiche der Schicht 118a, die in 4 dargestellt ist, effektiv von der Struktur entfernt werden können. Solch eine negative Leistungszykluskorrektur der Bereiche 116c ist durch die resultierende Schicht 118b in 5 dargestellt. Im Allgemeinen wird durch Anlegen einer negativen Leistung an die Kathode des Systems 10 aus 1 der Galvanisierprozess umgekehrt und zuvor auf den Wafer abgeschiedenes, galvanisiertes Material wird vom Wafer entfernt oder zerstäubt. Während dieses Entfernungsschrittes mit negativer Leistung wird galvanisiertes Material von den dickeren (höhere Stromdichte) Bereichen der Schicht 118a (z.B. Bereiche 116c) vom Wafer 20 mit höherer Rate entfernt als von den dünneren Bereichen (Bereiche mit niedrigerer Stromdichte), die mittels Überziehen auf den Bereichen 116a und/oder 116b ausgebildet wurden. Das Gesamtergebnis ist eine auf dem Keim 116 ausgebildete Zuwachsschicht 118b, die konform ist, wie in 5 veranschaulicht. Deshalb kann ein negativer Leistungszyklus, der in Verbindung mit den positiven Hoch- und/oder Niederleistungs-Zyklen von 4 verwendet wird, das Potential zum Erzeugen von Hohlräumen in einer Interconnect-Öffnung stark minimieren, indem der Vorteil der Umkehrüberzieheigenschaften ergriffen wird, der die Anwesenheit der dicke ren Bereiche 116c kompensiert. In anderen Worten ausgedrückt, hat sich gezeigt, dass die negative Leistung, die während dem Abscheiden von Kupfer in bestimmten Intervallen angelegt wird, die Galvanisiergleichmäßigkeitseigenschaften verändert und potentiell die Hohlraumbildung in einer Interconnect-Öffnung verringern kann, wenn dies in angemessener Sequenz durchgeführt wird (siehe 813 für verschiedene geeignete Sequenzen).
  • 6 veranschaulicht, dass positive AC- und/oder DC-Zyklen mit hoher Leistung abwechselnd mit positiven AC- und/oder DC-Zyklen mit niedriger Leistung und/oder mit gelegentlichen, korrigierenden negativen AC- und/oder DC-Zyklen schließlich in einer optimalen Art und Weise die Interconnect-Struktur aus 3 galvanisieren und füllen. Solch eine optimale Füllung ist somit durch die Kupferschicht 118c aus 6 veranschaulicht. Durch das spezifische Entwickeln verschiedener Zyklen mit positiver, hoher Leistung, positiver, niedriger Leistung und negativer Leistung und verschiedener Polaritäten während der Kupferabscheidung, wurde herausgefunden, die Interconnect-Qualität zu optimieren, wie nachfolgend im Detail in den 813 beschrieben wird. Im Allgemeinen bilden die hier gelehrten Verfahren zur Leistungszufuhr des Systems 10 durch Überziehen ein Interconnect mit verbessertem Ertrag und verbesserter Qualität aus dem Keim 116 aus, welcher einen oder mehrere verschiedene Bereiche 116a, 116b, 116c aufweist. Deshalb kann durch Steuern und Abwechseln des Potentials, der Leistung oder des Stromwertes sowie der Polarität (d.h. negativ oder positiv) an die Kathode des Systems 10 aus 1 im Zeitverlauf die gesamte durch Überziehen ausgebildete Schicht 118 aus 7 mit verbessertem Widerstand gegen über Elektromigration (EM), verbesserter Gleichmäßigkeit, verringertem oder eliminiertem Abschnüren, verbessertem Wafer-Durchsatz und einer Abwesenheit von Hohlräumen ausgebildet werden.
  • 7 veranschaulicht, dass an irgendeinem Punkt während dem Galvanisierprozess das Kupfer 118c die Interconnect-Öffnung vollständig füllt. Nach dem Punkt, wenn kein Hohlraumbildungsrisiko mehr vorhanden ist, kann ein schneller DC-Galvanisierzyklus mit hoher Leistungszufuhr beginnen, wodurch der Durchsatz verbessert wird. Deshalb wird das Kupfermaterial 118d, das in 7 über existierendem Kupfermaterial 118c abgeschieden wird, mit schneller Rate unter Verwendung eines positiven DC-Galvanisierprozesses mit hoher Leistungszufuhr oder anderen Wellenformen hohen Durchsatzes abgeschieden. Das Ergebnis ist ein Kupferfilm, der die Interconnect-Öffnung vollständig füllt und der für eine Großserien- und Massenproduktionsverwendung in der IC-Industrie (IC = "integrated circuit"/integrierter Schaltkreis) optimiert und verbessert wurde. Zusätzlich ist es möglich, hierbei als einen oder als mehrere Prozesszyklen einen Füllprozess zu verwenden, der von unten nach oben innerhalb der Vias mit einer höheren Rate abscheidet und mit einer niedrigen oder nicht existierenden Rate auf der oberen dielektrischen Fläche 115 abscheidet. Solch ein Füllzyklus, der von unten nach oben abscheidet, wäre auch bei der Herstellung einer verbesserten Kupferschicht, wie hier gelehrt, hilfreich.
  • Die 37 veranschaulichen, dass ein Kupfer-Interconnect auf einem Halbleiter-Wafer mit verbessertem EM-Widerstand (EM = "electromigration"/Elektromigration), angemessenem Wafer-Durchsatz und signifikant verringerter Hohlraumbildung und Abschnürung ausgebildet werden kann, indem die Art, das Wert und die Polarität der an die Kathode und/oder Anode der Kammer 10 aus 1 während dem Galvanisieren angelegten Leistung gesteuert wird.
  • Die 813 veranschaulichen spezifische Leistungssequenzen, welche über das Steuersystem 34 an die Kathode aus 1 angelegt werden können, um eines oder mehrere der verschiedenen verbesserten Ergebnisse zu erlangen, die vorstehend bezüglich der 37 diskutiert wurden.
  • Insbesondere veranschaulicht 8 eine mögliche Sequenz mit der die Kathode (d.h. der Wafer 20) aus 1 elektrisch gesteuert werden kann, damit das verbesserte Kupfer-Interconnect ausgebildet wird, das vorstehend bezüglich der 37 beschrieben wurde. 8 ist ein Graph, in dem die vertikale Y-Achse den Strom (I) in Ampere und die horizontale X-Achse die Zeit in Sekunden kennzeichnet. Während 8 Strom auf einer vertikalen Achse kennzeichnet, ist es wichtig zu erwähnen, dass irgendeines aus Strom, Stromdichte, Spannung oder Leistung in den 313 als Y-Achse veranschaulicht werden kann. Mit anderen Worten ausgedrückt sind Strom, Stromdichte, Spannung oder Leistung im Allgemeinen miteinander austauschbare Größen oder Begriffe, wenn hier verwendet.
  • 8 veranschaulicht eine Kathodenleistungssequenz, die am besten mit einer CVD-Keimschicht 116 (CVD = "chemical vapor deposition"/chemische Dampfabscheidung) verwendet wird, welche die in 3 dargestellten Keimverarmungsbereiche 116a nicht aufweist. Es wurde experimentell gezeigt, dass CVD-abgeschiedene Keimschichten, vergleichen mit Keimschichten die unter Verwendung von physikalischer Dampfabscheidung (PVD) oder Zerstäubungsprozessen ausgebil det werden, weniger wahrscheinlich zu Keimverarmungsbereichen 116a führen. Da diese Verarmungsbereiche 116a wahrscheinlich nicht vorliegen, kann ein DC-Initiierungsschritt/-zyklus 201 mit niedriger positiver Spannung verwendet werden, wie links in 8 veranschaulicht. Die Niederspannungsinitiierungsphase 210 ist für die CVD-Keime vorteilhaft, da sie eine größere Menge an Fremdstoffen in den galvanisierten Kupferfilm einbringt, wodurch EM-Widerstand (EM = "elektromigration"/Elektromigration) verbessert wird.
  • Im Allgemeinen verwendet der Initialisierungsschritt 201 in einem typischen Galvanisierprozess einen positiven DC-Strom zwischen ungefähr 0,5 A und 3 A. 8 veranschaulicht, dass der Strom während der Zeitspanne von Schritt 201 auf 1 A gesetzt wird. Im Allgemeinen kann dieser Initialisierungsschritt 201 von einigen Sekunden bis zu ungefähr einer Minute andauern.
  • Nach der Fertigstellung des Initialisierungsschrittes 201, in dem einiges an Kupfermaterial auf dem Wafer 20 abgeschieden wird, beginnt ein Schritt 212 mit positiv gepulster Leistung. 8 veranschaulicht, dass abwechselnde Zeitspannen mit angeschaltetem positiven Strom 202 (Ein-Zeitspanne) und abgeschaltetem Strom 204 (Aus-Zeitspanne) in der Zeitspanne 212 durch die Steuerung 34 aus 1 an den Wafer 20 geliefert werden. Die Zeitspanne kann eine oder mehrere Ein- oder Aus-Impulse enthalten und dauert im Allgemeinen von ungefähr einer Millisekunde (ms) bis zu einer Sekunde. In einem bevorzugtem Ausführungsbeispiel ist die Ein-Zeitspanne 202 des positiven Impulses 202 in der Zeitspanne 212 ungefähr 7,5 ms während die Aus-Zeitspanne 204 in der Zeitspanne 212 ungefähr 0,5 ms ist. Es ist er wähnenswert, dass andere Zeitdauern oder andere Tastverhältnisse für den (die) Ein-Impuls(e) 202 und den(die) Aus-Impuls(e) 204 in 8 verwendet werden können. 8 veranschaulicht, dass das Strom- oder Leistungsniveau, welches während der Ein-Zeitspanne 202 des Zyklus 212 geliefert wird, ungefähr 6 A ist. Im Allgemeinen sollte das Leistungsniveau während der Ein-Zeitspannen 202 der Zeitspanne 212 höher sein als das Leistungsniveau während der Zeitspanne 201. Diese höhere Leistung lässt zu, dass die Additive in der Lösung 19 aus 1 während dem Überziehen angemessen aktiviert werden. Jedoch sollte das Leistungsniveau während der Ein-Zeitspanne 202 nicht so groß sein, dass die vorteilhaften Funktionen, die durch die Additive bereitgestellt werden, unterdrückt werden. Aus diesem Grund können die Leistungsniveaus aus 8 in der Zeitspanne 212 entsprechend der Zusammensetzung der Lösung 19 und der Bauart des verwendeten Galvanisiersystems variieren.
  • Nach dem Initialisierungsschritt 201 und dem Schritt 212 mit positiv gepulster Leistung wird der Wafer 20 ähnlich zu dem, der in 4 dargestellt ist, aussehen, wie in 8 gekennzeichnet. Deshalb wird das Kupfer oder Kupferlegierungsmaterial, welches bisher abgeschieden wurde, im Allgemeinen mit einer hohen Gesamtabscheidungsrate abgeschieden, aber wahrscheinlich so abgeschieden, dass der zusammengesetzte Keim und die darüber befindliche galvanisierte Schicht nicht konform innerhalb der Interconnect-Öffnung abgeschieden wird. Wie vorstehend diskutiert, macht die Fortführung dieser unregelmäßigen Abscheidung unter positiver Leistung das Kupfer-Interconnect viel anfälliger für Probleme der Hohlraumbildung, die mit Abschnürungen zusammenhängen. Zusätzlich wird der Initialisierungsschritt 201 mit niedrigerer Leistung Schwierigkeiten haben, die Verarmungsbereiche 116a zu überziehen, wenn diese vorhanden sind (was bei einer PVC-Keimschicht sehr wahrscheinlich ist). Wenn die Bereiche 116a nicht existieren, kann die Zeitspanne 212 alternativ am Anfang verwendet werden, um die Bereiche 116a zu überziehen, anstatt den Zyklus 201 zu verwenden. Weil jedoch das Einbringen von Fremdstoffen unter Verwendung von Prozessen niedrigerer Leistung im Allgemeinen verbessert wird, wird der Widerstand gegenüber Elektromigration (EM) unter Verwendung des Initialisierungsschrittes 201, wenn durchführbar, verbessert.
  • Um die Hohlraumbildung im Einklang mit dem Langzeiteinwirken der Zeitspannen 201 und/oder 212 zu minimieren, wird die positive Impulszeitspanne 212 schließlich beendet und eine negative Impulszeitspanne 214 begonnen (siehe Mitte von 8). Die Gesamtzeitdauer der negativen Impulszeitspanne 214 liegt im Allgemeinen irgendwo zwischen ungefähr 0,2 ms und 1 Sekunde. In 8 trennt oder entfernt die negative Impulszeitspanne 214 Kupfer von der Wafer-Oberfläche. Kupfer wird mit einer höheren Rate von Bereichen der Kupferschicht auf den Wafer mit hoher Stromdichte (dickere) entfernt. Diese Entfernung von Kupfer ebnet das Seitenwandprofil des zusammengesetzten Keims und scheidet den Kupferfilm ab, verringert das "Abschnürungs"-Risiko, welches in 4 veranschaulicht ist, und erzeugt ein gleichmäßigeres Galvanisierkupferfilmprofil; welches vorstehend bezüglich 7 veranschaulicht und beschrieben wurde.
  • Damit sich mit der Zeit insgesamt eine positive Dicke an Kupfer auf dem Wafer 20 ausbildet, sollte die Menge an während der Zeitspanne 12 abgeschiedenem Kupfer im Allge meinen größer sein als die Menge an Kupfermaterial, welches während der Zeitspanne 214 entfernt wird. Deshalb sollte die Zeitspanne 212 entweder von längerer Zeitdauer als die Zeitspanne 214 sein und/oder die Zeitspanne 212 sollte einen höheren durchschnittlichen Absolutwert an Leistung an den Wafer liefern als der Absolutwert der Leistung, die in der Zeitspanne 214 geliefert wird. Für die Zeitspanne 214 ist die gesamte Ein-Zeit 206 der Zeitspanne 214 ungefähr 0,5 ms während die Aus-Zeitspanne 208 ungefähr 0,5 ms ist. Jedoch kann bei der Zeitspanne 214 irgendein Tastverhältnis oder irgendeine Zeitdauer der Impulse verwendet werden, vorausgesetzt, dass die Gesamtmenge an abgeschiedenem Kupfer die Menge an Kupfer übersteigt, welches im Zeitablauf entfernt wird.
  • Zusätzlich veranschaulicht 8, dass der Wert der negativen Impulse während der Einschaltzeitspanne 206 ungefähr negative 4 A beträgt und so groß sein kann, wie es die Stromversorgung zulässt, vorausgesetzt, das abgeschiedene Kupfer übersteigt die Menge an während dieser Zeitspanne entferntem Kupfer. Im Allgemeinen kann jeder Wert an negativ gepulstem Strom verwendet werden, solange er in der Lage ist, das Kupfer zu entfernen und das Profil zu erzeugen, welches allgemein in 5 veranschaulicht ist. Deshalb können andere Tastverhältnisse, andere Schaltfrequenzen, andere synchrone oder asynchrone Ein-/Aus-Impulse, andere Impulse mit variabler Zeitdauer und variablen Leistungsniveaus, usw. während der gepulsten Zeitspannen, die hier in den 813 gelehrt wurden, verwendet werden.
  • Nachdem die negativ gepulste Zeitspanne 214 abgeschlossen ist, liegt in dem System aus 1 eine Interconnect-Öffnung vor, die ähnlich zu dem in 5 veran schaulichten aussieht. Nach der zumindest einen positiv gepulsten Zeitspanne 212 und einer negativ gepulsten Zeitspanne 214 kann das Steuersystem 34 aus 1 damit fortfahren, beliebig oft und in beliebig vielen synchronen oder asynchronen Reihenfolgen, zwischen den Zyklen 212 und 214 abzuwechseln. Deshalb kann jede Kombination, Reihenfolge und/oder Anzahl an positiv und negativ gepulsten Zyklen 212 und 214 verwendet werden, um die hierin gelehrte Interconnect-Öffnung zu füllen. Im Allgemeinen werden genügend positiv und negativ gepulste Zyklen 212 und 214 durchgeführt, so dass sicher gestellt wird, dass der Aufbau von 6 in einer angemessenen, hohlraumfreien Art und Weise bis zu einer Zieldicke ausgebildet wird, wie vorstehend beschrieben.
  • Nachdem die Interconnect-Öffnung aus 6 angemessen gefüllt ist, indem irgendeine Kombination der Zeitspannen 201, 212 und 214 aus 8 verwendet wird, kann es unter Erwägungen hinsichtlich Durchsatz wünschenswert sein, dem Wafer 20 mit einem hohen DC-Potential Leistung zuzuführen, wie durch die Zeitspanne 210 auf der rechten Seite von 8 veranschaulicht. Durch Verwenden eines DC-Potentials mit hoher Leistung während der Zeitspanne 210 können die obersten Abschnitte der Kupferschicht (z.B. Bereich 118b aus 7) mit einer hohen Rate, ohne die Risiken der Hohlraumbildung, abgeschieden werden, wodurch der Durchsatz verbessert wird.
  • Nachdem die Zeitspanne 210 aus 8 abgeschlossen ist, kann der Wafer 20 aus dem System von 1 entfernt und zu einem CMP-Vorgang (CMP = "chemical mechanical polishing"/chemisch-mechanisches Polieren) befördert werden, um das Ausbilden der Doppel-Inlay-Interconnect-Struktur mit verringerter oder eliminierter Hohlraumbildung, verbesser ter Zuverlässigkeit und/oder verbesserter Leistungsfähigkeit fertig zu stellen. Der Prozess aus 8, sowie andere hier gelehrte Prozesse können gegenwärtig sequentiell bei mehreren verschiedenen und gestapelten Metallurgieschichten über einem Substrat eines integrierten Schaltkreises (IC) ausgeführt werden (z.B. sind sieben oder mehr Schichten an Kupfer-Interconnects über einem IC unter Verwendung der gegenwärtigen Technologie möglich).
  • Im Prozess aus 8 wurde entdeckt, dass die Initiierungszeitspanne 201, welche eine lang andauernde DC-Zeitspanne mit niedriger Leistung ist, nicht immer angemessen war, um die Keimverarmungsbereiche 116a zu überziehen, welche wahrscheinlich vorhanden sind, wenn PVD-Prozesse zum Ausbilden der Keimschicht 116 verwendet werden. Wenn die Bereiche 116a der Keimschicht 116 nicht geeignet galvanisiert werden, können sich ungewollte Seitenwandhohlräume in den Via-Abschnitten der Interconnect-Struktur aus den 37 ausbilden. Da die Bereiche 116a bei PVD-abgeschiedenen Keimschichten wahrscheinlich auftreten, ist die Leistungssequenz von 9 für gewöhnlich besser geeignet als die von 8, wenn in Verbindung mit PVD-Keimschichten 116 verwendet. Jedoch kann entweder der Prozess aus 8 oder der aus 9 mit jeder Keimschicht 116, die auf irgendeine Art und Weise ausgebildet ist, verwendet werden.
  • 9 veranschaulicht die Verwendung eines Initialisierungsschrittes 252 welcher positiv gepulste Zyklen mit hoher Leistung verwendet, bevor sich erhöhende Gleichstromzyklen 254 und 256 verwendet werden, um die Kupferschicht abzuscheiden. Es wurde herausgefunden, dass eine Initiierungszeitspanne 252 von positiv gepulsten Zyklen mit hoher Leistung effektiv verwendet werden kann, um über den Keim verarmungsbereichen 116a aus 3 zu galvanisieren. Diese Zeitspanne 252 dient als Keimbildungsschritt für ein Kupferanwachsen auf den verarmten Keimbereichen 116a und 116b, wie in 3 dargestellt. Nach einer Zeitspanne von einigen Millisekunden bis einigen Sekunden, können optional negativ gepulste Zeitspannen 214, wie vorstehend in 8 veranschaulicht, in einer unterbrochenen Art und Weise verwendet werden, um die Wahrscheinlichkeit von Hohlraumbildung in der positiv gepulsten Zeitspanne 252 zu verringern. Nachdem der positiv gepulste Vorgang mittels Schritt 252 auftrat (einschließlich irgendeines optionalen dazwischen gemischten negativen Impulses), wird für eine Zeitspanne 254 ein positiver Gleichstrom niedriger Leistung an den Wafer 20 angelegt, der als Filmanwachsschritt dient und ähnlich zum Initialisierungsschritt 201 aus 8 wirkt.
  • Es wurde herausgefunden, dass die Niederleistungs-Galvanisierzeitspannen, wie beispielsweise die Zeitspanne 254 aus 9 erhöhte Mengen an Fremdstoffen (z.B. Schwefel, Kohlenstoff und Stickstoff) in den Kupferfilm einbringt, wodurch der Widerstand gegenüber Elektromigration (EM) verbessert wird. Obwohl positive DC-Prozesse mit niedriger Leistung als nicht angemessen zum Überziehen der Keimverarmungsbereiche 116a und in einigen Fällen als Hohlraum bildend dargestellt wurden, ist die Verwendung einer oder mehrerer Zeitspannen positiver DC-Verarbeitungszeitspannen 254 mit niedriger Leistung zum Überziehen der Struktur aus 5 (welche durch eine oder mehrere positive Steuersequenzen mit gepulster Leistung ausgebildet wird) vorteilhaft, da sie im Allgemeinen den Elektromigrationswiderstand verbessern. Hohlräume und Verarmungsbereiche werden während der Zeitspanne 254 nicht nachteilig auftreten, da solch ein Risiko an Hohlräumen und Kupferverarmungsbereichen zuvor mittels der gepulsten, zeitlich festgelegten Sequenzen 252 bewältigt wurde.
  • Während jedoch das Galvanisieren während der Zeitspanne 254 den EM-Widerstand (EM = "electromigration"/Elektromigration) verbessern kann, überzieht das Galvanisieren während der Zeitspanne 254 mit einer sehr geringen Rate die Oberfläche des Wafers 20 mit Kupfer. Damit der Durchsatz verbessert wird, schreitet der Prozess aus 9 deshalb schließlich zu einem positiven DC-Verarbeitungsschritt mit hoher Leistungszufuhr fort, der durch die Zeitspanne 256 in 9 gekennzeichnet ist, wodurch der Wafer-Durchsatz kompensiert werden kann während immer noch vom Vorteil der Elektromigration profitiert wird, der aus der Zeitspanne 254 resultiert. Zusätzlich können diese Fremdstoffe nach dem Abscheiden im Kupferfilm ionenimplantiert und thermisch ausgeglüht ("annealed") werden, wenn nach dem Galvanisieren eine größere Fremdstoffkonzentration erforderlich ist. Deshalb resultiert der in 9 veranschaulichte Prozess, bei dem das Steuersystem 35 aus 1 die Kathode und/oder Anode aus 1 steuert, in einer verbesserten Doppel-Inlay-Kupfer-Interconnect-Struktur auf einem Halbleiter-Wafer, ähnlich zu dem, der in 7 veranschaulicht ist. Noch einmal, es ist erwähnenswert, dass die spezifischen Ströme, Spannungen, Zeitspannen und die Breiten und die Gleichmäßigkeit der Ein-/Aus-Tastverhältnisimpulse usw., die in 9 veranschaulicht sind, eingestellt werden können, um veränderte Überzugsbadzusammensetzungen und Ausstattungen abzudecken und/oder um veränderte Prozessergebnisse zu erzeugen.
  • 10 veranschaulicht eine gepulste Zeitsequenz 268, die verwendet werden kann, um irgendeine der gepulsten Zeitsequenzen 252, 212, 214, usw., welche vorstehend bezüglich der 89 veranschaulicht wurden, zu ersetzen. Zusätzlich kann hierbei die Zeitsequenz 268 in Verbindung mit irgendeiner anderen Art an DC- und/oder AC-Zeitsequenz mit positiver und/oder negativer Leistung zum Galvanisieren verwendet werden. 10 veranschaulicht, dass die Sequenz 268 Ein-Impulse variierender Zeitdauer und/oder variierendem Stromwerts aufweist. Wie vorstehend beschrieben unterscheiden sich Impulse mit hoher Leistungszufuhr und Impulse mit niedriger Leistungszufuhr in ihrem Vermögen Material angemessen zu überziehen oder Keimbildung und nachfolgendes laterales Filmanwachsen über den Bereichen 116a, 116b und 116c in 3 zu bewirken, und sie haben unterschiedliche Effekte auf Durchsatz, EM-Widerstand (EM = "electromigration"/Elektromigration), Verringerung der Hohlraumbildung, Kornstruktur und dergleichen. Deshalb wurde entdeckt, dass die Kombination aus kürzeren Ein-Impulsen 262 mit hoher Leistungszufuhr und längeren Ein-Impulsen 266 mit niedriger Leistungszufuhr, die durch Aus-Zeitspannen 264 voneinander getrennt sind, in einigen Anwendungen vorteilhaft ist. Die Leistungssequenz, die in 10 veranschaulicht ist, kann mit negativen Impulssequenzen, DC-Sequenzen, AC-Sequenzen, gepulsten DC-Sequenzen oder irgendwelchen anderen möglichen Sequenzen kombiniert werden, um eine Doppel-Inlay-Interconnect-Öffnung in einer verbesserten Art und Weise mit Kupfer zu überziehen. Noch einmal, wie anhand der anderen Figuren hierin gelehrt, variieren die spezifischen Tastverhältnisse, Spannungsbereiche, zeitlich festgelegte Zeitdauern und dergleichen von Maschine zu Maschine oder von Prozess zu Prozess.
  • 11 veranschaulicht noch eine andere Leistungssequenz, die mit dem System aus 1 verwendet werden kann. 11 veranschaulicht, dass eine positiv gepulste Zeitspanne 302 mit hoher Leistungszufuhr verwendet werden kann, um anfänglich effektiv die Keimverarmungsbereiche 116a mit einer hohen Abscheidungsrate zu überziehen. Nach einer oder mehreren Zeitspannen 302 werden optional positiv gepulste Zeitspannen 306 mit niedrigerer Leistung zwischen negativ gepulsten Zeitspannen, ähnlich zur in 11 veranschaulichten Zeitspanne 304, verwendet, um das Überziehen mit einer niedrigeren Rate fortzusetzen, während eine erhöhte Menge an Fremdstoffen (wie beispielsweise Kohlenstoff, Stickstoff und/oder Schwefel) in das Kupfermaterial eingebracht werden, um den EM-Widerstand (EM = "electromigration"/Elektromigration) zu verbessern.
  • Wie in 11 gekennzeichnet, können die positiv gepulsten Zeitspannen 302 mit hoher Leistung und die positiv gepulsten Zeitspannen 306 mit niedriger Leistung durch äquivalente oder verschiedene gepulste Sequenzen 304 oder 308 mit niedriger Leistungszufuhr getrennt sein, wie in 11 veranschaulicht. Im Allgemeinen ist die negative Leistungssequenz 308, welche der positiven Leistungssequenz 306 folgt von kürzerer Dauer und/oder geringerer, insgesamt integrierter Leistung als solche Zeitspannen 304, welche den positiven Zeitspannen 302 mit höherer Leistungszufuhr folgen. Dies liegt daran, dass die Zeitspannen 306 weniger Material auf der Oberfläche des Wafers 20 abscheiden, als die Zeitspannen 302, wobei selbstverständlich in den nachfolgenden negativ bestromten Zeitspannen weniger Material entfernt werden muss, um eine verringerte Hohlraumbildung und/oder verbesserte Gleichmäßigkeit zu erlangen. Außerdem können die Zeitspannen 302 einen oder mehrere Vorwärtsimpuls(e) aufweisen, die mit negativen Impulse(n) durchmischt sind, und die Zeitspannen 304 können ebenso eine oder mehrere Umkehr-Zeitspannen umfassen. Zusätzlich veranschaulicht 11, wie in 8 dargestellt, dass ein DC-Vorgang mit hoher Leistung nahe dem Ende des Galvanisiervorgangs beginnen kann, um den Wafer-Durchsatz des Systems 10 zu verbessern. Deshalb wird die DC-Zeitspanne 310 mit hoher Leistungszufuhr aus 11, am Ende des Galvanisiervorgangs verwendet (siehe 8).
  • 12 veranschaulicht eine andere Leistungszeitspanne 352, welche für jede der Zeitspannen verwendet werden kann, die vorstehend in den 811 veranschaulicht wurden. Die Aus-Zeitspannen 356 aus 12 und tatsächlich alle Aus-Zeitspannen, die in den 811 veranschaulicht sind, werden bereitgestellt, um der Lösung 19 aus 1 zu erlauben, sich zu regenerieren, nachdem die Galvanisiervorgänge stattfanden. Mit anderen Worten ausgedrückt, werden die Ein-Impulse verschiedener Zeitspannen dazu führen, dass das Kupfer, welches sich in der näheren Umgebung (der Grenzschicht) zum Wafer 20 in der Lösung 19 befindet, auf den Wafer abgeschieden wird. Dies erzeugt in der Lösung 19 Bereiche in der Nähe der überzogenen Oberfläche, welche frei von Überzugsmaterial und Additiven sind. Damit dieser Verminderungsbereich (d.h. Konzentrationsgradient im Bad 19) nahe der Überzugsfläche mit Kupfer, Additiven und anderen Fremdstoffen aufgefrischt werden kann, wird der Aus-Zyklus dazu verwendet, eine Diffusion der Materialien in der Lösung von Bereichen mit hoher Konzentration ("bulk") zu Bereichen mit geringer Konzentration (Wafer-Oberfläche oder Grenzschichten) zuzulassen. Dies ist besonders wichtig, wenn in Öffnungen mit hohem Streckungsgrad ein Überzug ausgebildet wird, wie nachfolgend erklärt wird.
  • 12 veranschaulicht, dass das Tastverhältnis der Leistungssequenz 352 derart sein kann, dass die Ein-Zeitspanne 354 gleich oder kürzer als der Aus-Zyklus 356 ist. Diese Art an Tastverhältnis kann in extrem kleinen Vias (z.B. kleiner als 0,2 Mikrometer) oder in Vias mit extrem großem Streckungsverhältnis (wie beispielsweise ein Graben-Kondensator mit einer Grabentiefe von 8 Mikrometern und einer Grabenbreite von 0,5 Mikrometern) erforderlich sein. Aufgrund der Größe und/oder dem Streckungsverhältnis der Öffnung kann es mehr Zeit in Anspruch nehmen, bis die Fremdstoffe, Additive oder Kupfer durch die Lösung zu den Bereichen diffundieren, wo diese Materialien in Folge des Überziehprozesses dezimiert wurden. In diesen Fällen wird eine längere relative Aus-Zeit 356 vorgesehen, um bei Öffnungen mit extrem kleiner Geometrie oder großem Streckungsverhältnis zuzulassen, dass sich diese Spezies von der Verminderung regenerieren, ohne die Qualität des Kupferfilms signifikant zu beeinflussen. Es ist vorweggenommen, dass der Prozess aus 12 in einer oder mehreren Anwendungen verwendet werden kann, wo hoch entwickelte Lithographie, wie beispielsweise Röntgenlithographie, Phasenverschiebung, SCALPAL oder E-Strahl-Lithographie in Verbindung mit dem Ausbilden von Kupfer-Interconnects oder Kupferelektroden verwendet werden. Es wird davon ausgegangen, dass dieser periodische Zyklus mit "langer Aus-Zeit" insbesondere für Vias mit 0,1 Mikrometern oder für Vias unter 0,1 Mikrometern und/oder irgendeiner Streckungsverhältnis-Öffnung mit einem Tiefen-zu-Breiten-Verhältnis von 6:1 oder größer, erforderlich ist.
  • 13 veranschaulicht den Betrieb von zwei möglichen Galvanisiersystemen (System A und System B). Entweder System A oder B (oder ein anderes System das entsprechend dem in 13 dargelegten Konzept ausgebildet ist) kann verwendet werden, um Kupfer auf dem Wafer mit In-situ-Endpunkterfassung oder In-situ-Prozessteuerung zu galvanisieren. Diese Endpunkt-Methodik und das System können in Verbindung mit irgendwelchen Wellenformen, die in den 812 dargestellt sind, verwendet werden, und sie können unter Verwendung des Systems 10 aus 1 durchgeführt werden.
  • Insbesondere ein oberer Abschnitt von 13 veranschaulicht ein System A. System A ist ähnlich zu System 10, welches in 1 dargestellt ist, wobei die Leistung zum Wafer 20 mittels der selben Klemmen 18 zugeführt wird, welche für die Endpunkterfassung, Datenakquisition und In-situ-Steuerung verwendet werden. Mit anderen Worten ausgedrückt, können einer oder mehrere Zyklen 201, 212, 214, 210, 252, 254, 256, 268, usw. aus den 812 oder einige Abschnitte dieser Sequenzen, an einen Wafer 20 angelegt werden und dann in einer unterbrochenen Art und Weise unterbrochen werden, wobei dann Endpunkterfassungsvorgänge an den selben Klemmen 18 begonnen werden. Deshalb wird System A als ein zeitunterteiltes Endpunkterfassungssystem bezeichnet, bei dem bestimmte Klemmen 18 sowohl für die Leistung während dem Galvanisieren als auch für die In-situ-Endpunkt-/Prozesserfassung verwendet werden.
  • Als ein Beispiel für den Betrieb solch eines zeitunterteilten Systems, ist System A in 13 veranschau licht, während es eine positiv gepulste Leistungssequenz 502 durchführt, der sofort eine negativ gepulste Leistungssequenz 504 folgt. Diese Leistungssequenzen werden über eine oder mehrere Klemmen 18 durchgeführt, wie in 1 und 2 veranschaulicht. Nach dem Durchführen eines oder mehrerer Zyklen 502 und 504 werden die Stromversorgungen 28 mittels der Schalter 32 von den Klemmen 18 aus 1 getrennt. An diesem Punkt werden die Endpunkt-Sensoren 30 durch die Schalter 32 mit den Klemmen 18 verbunden. Diese Verbindung, welche unter Steuerung der CPU 26 mittels der Schalter 32 ermöglicht wird, erlaubt, dass in 13 ein Endpunkterfassungsvorgang 506 stattfindet.
  • In Zeitspanne 506 wird eine Spannung und/oder ein Strom geliefert und/oder über eine oder mehrere Klemmen hinweg erfasst, um zu bestimmen, ob der Widerstand des Kupferüberzugs auf den Wafer 20 anzeigt, dass eine angemessene Dicke an Kupfer auf den Wafer 20 ausgebildet worden ist. Zusätzlich können in der Zeitspanne 206 mehrdirektionales und zweidimensionales Prüfen über viele Prüf-/Kontaktpunkte quer über die Oberfläche des Wafers 20 stattfinden. Deshalb kann die Zeitspanne 506 die Gleichmäßigkeit oder Dicke von Material zwischen verschiedenen Endpunkten auf den Wafer bestimmen, und so können Daten für die Datenbankerzeugung gespeichert werden oder zweidimensional aufgezeichnet werden um Gleichmäßigkeitsaspekte auf einer Wafer-zu-Wafer-Basis nachzuvollziehen. Außerdem können diese Gleichmäßigkeitsdaten durch die CPU 26 verarbeitet werden, um nachfolgend die Leistung zu verändern, die durch die Stromversorgung 28 auf einer Klemme-zu-Klemme-Basis an den Wafer geliefert wird, wobei die Gleichmäßigkeit in einer In-situ-Weise beim Galvanisiervorgang verbessert werden kann.
  • Zusätzlich muss die Endpunkterfassung der Zeitspanne 506 nicht darauf gerichtet sein, zu erfassen, wann die Galvanisiervorgänge zu beenden sind, sondern kann verwendet werden, um zu erfassen, wann der Galvanisiervorgang einen Ablauf beenden soll und einen anderen Ablauf beginnen soll. Beispielsweise kann die Endpunkterfassung der Zeitspanne 506 verwendet werden, um zu bestimmen, wann die iterativen Zyklusdurchläufe der zwei Zeitspannen 502 und 504, zu Zyklusabläufen von anderen Zeitspannen 508 und 510 verändert werden soll, welche unterschiedliche Tastverhältnisse oder Spannungs-/Stromniveaus aufweisen. Zusätzlich kann die Endpunkterfassung 512 verwendet werden, um zu bestimmen, wann vom gepulsten Betrieb zum DC-Betrieb 516 umgeschaltet werden soll, wie in 13 veranschaulicht. Mit anderen Worten ausgedrückt, kann die Zeitspanne 512 aus 13 verwendet werden, um zu erfassen, wann der Wafer 20 an dem in 6 dargestellten Punkt angekommen ist, und um den Prozess zur Zeitspanne 516 aus 13 zu verändern, so dass schnell Material abgeschieden wird, um bei der in 7 dargestellten Struktur anzugelangen. Des Weiteren kann die Endpunkterfassung verwendet werden, um zu bestimmen, wann neue Additive zur Lösung 19 hinzuzufügen sind oder wann andere Bedingungen des System 10 oder der Lösung 19 verändert werden sollten. Beispielsweise kann der Durchfluss mehrerer Aufheller, Hemmstoffe oder Additive erhöht werden, der Durchfluss verringert werden oder deren Bereitstellung im Bad 19 kann insgesamt eliminiert werden, und zwar basierend auf den Daten, die in den Fenstern 506 und 512 erlangt wurden. Natürlich können die Endpunkterfassungsfenster, wie beispielsweise 506 und 512, in ihrer nützlichsten Weise am Ende jedes Galvanisierprozesses verwendet werden, um zu bestimmen, wann die Galvanisiervorgänge beendet werden sollten (z.B. am Ende der Zeitspanne 516).
  • 13 veranschaulicht ebenso ein System B ähnlich zu dem, welches auch in 1 veranschaulicht ist, aber dennoch unterschiedlich zu dem vorstehend beschriebenen System A. Im System B aus 13 ist beabsichtigt, dass einige Klemmen 18 permanent mit einer Art geschalteter Steuerung der Stromversorgung 28 gekoppelt sind, während beabsichtigt ist, dass andere Klemmen 18 permanent mit einer Steuerung der Endpunktsteuerung 30 gekoppelt sind. Wenn das System B verwendet wird (welches als kontinuierliches Überwachungssystem bezeichnet wird), können gepulste Vorgänge 522534 begonnen werden und DC-Vorgänge, wie beispielsweise der Vorgang 536 aus 13, können in einer ununterbrochenen Art und Weise über die mit der Stromversorgung 28 verbundenen Klemmen 18 fortgesetzt werden. Zeitparallel zur Leistungszufuhr zum Wafer 20 über die Klemmen 18 mittels der Wellenformen 522, 524, 526, 528, 530, 532, 534 und 536, können in 1 andere Klemmen 18 verwendet werden, um die Gleichmäßigkeit, die Abscheidungsraten, die Fremdstoffniveaus und/oder die Endpunktparameter über die in 13 veranschaulichte Zeitspanne 520 zu überwachen.
  • Deshalb kann die Endpunkterfassung für das System 10 aus 13 kontinuierlich sein, wie über die Zeitspanne 520 aus 13 veranschaulicht, oder sie kann auf einer zeitunterteilten oder unterbrochenen Basis durchgeführt werden, wie jene, die über die Endpunkt-Zeitspannen 506 und 512 aus 13 veranschaulicht ist, oder sie kann beides sein. Es ist erwähnenswert, dass die Endpunkterfassungsvorgänge irgendwo in irgendeiner der vorstehend in den 8-12 veranschaulichten Wellenformen oder zwischen irgendeiner der in den 812 veranschaulichten Wellenformen angeordnet werden können. Die Endpunkterfassung kann auch automatisch mittels Niedrigstrom- und Niedrigspannungserfassung während "Aus"-Zyklen verschiedener gepulster Zyklen durchgeführt werden. Zusätzlich kann, obwohl in 13 oder 1 nicht spezifisch dargestellt, die Endpunkterfassung wie hier beschrieben, in einem Zweikammernsystem unter Robotersteuerung durchgeführt werden. Galvanisieren kann in einem ersten System ähnlich zu System 10 erfolgen. Jedoch wird das System 10 eine andere Kammer in der nähren Umgebung der Galvanisierkammer aufweisen, wobei ein Roboter den Wafer zwischen der Galvanisierkammer und der Endpunkterfassung (eine Vier-Punkt-Prüfkammer oder Ähnliches) hin und her bewegt, bis in der zweiten Kammer ein Endpunktzustand erfasst wird.

Claims (2)

  1. Verfahren zum Herstellen einer Kupferschicht über einem Wafer (20), wobei das Verfahren folgende Schritte aufweist: Anordnen des Wafers (20) in einer Galvanisierkammer (10), wobei die Galvanisierkammer (10) ein Steuersystem (34) hat, wobei das Steuersystem (34) elektrisch mit dem Wafer (20) über zumindest einen elektrischen Kontakt (18) gekoppelt ist und wobei das Steuersystem (34) Stromsignale für die Halbleiterscheibe (20) bereitstellt; Bereitstellen eines ersten positiven Stromsignals an den Wafer (20) während einer ersten Zeitspanne (302), wobei das erste Stromsignal ein gepulstes Signal mit Einschaltzeitspannen auf einem ersten Leistungsniveau und Ausschaltzeitspannen auf einem zweiten Leistungsniveau aufweist, wobei das erste Leistungsniveau größer als das zweite Leistungsniveau ist; Bereitstellen eines zweiten negativen Stromsignals an den Wafer (20) während einer zweiten Zeitspanne (304), die der ersten Zeitspanne folgt, wobei das zweite Stromsignal ein gepulstes Signal mit Einschaltzeitspannen auf einem dritten Leistungsniveau und Ausschaltzeitspannen auf einem vierten Leistungsniveau aufweist, wobei des Weiteren das zweite Leistungsniveau gleich dem vierten Leistungsniveau ist, wobei das zweite Stromsignal während dem Anlegen des zweiten Stromsignals eine Galvanisierprozessumkehr verursacht; Bereitstellen eines dritten positiven Stromsignals an den Wafer (20) während einer dritten Zeitspanne (306), die der zweiten Zeitspanne (304) folgt, wobei das dritte Stromsignal ein gepulstes Signal mit Einschaltzeitspannen auf einem fünften Leistungsniveau und Ausschaltzeitspannen auf einem sechsten Leistungsniveau aufweist, wobei das fünfte Leistungsniveau kleiner als das erste Leistungsniveau, aber größer als das sechste Leistungsniveau ist, und wobei des Weiteren das zweite Leistungsniveau gleich dem sechsten Leistungsniveau ist; und Überwachen eines Widerstands, der über dem Wafer (20) hergestellten Kupferschicht, während des Galvanisierens, um zu bestimmen, wann die Bedingungen in der Galvanisierungskammer (10) in Reaktion auf einen Widerstandsendpunkt zu ändern sind.
  2. Verfahren gemäß Anspruch 1, wobei die Einschaltzeitspannen (354) des ersten Stromsignals von geringerer Dauer als die Ausschaltzeitspannen (356) sind.
DE60025773T 1999-05-03 2000-05-02 Verfahren zur Herstellung einer Kupferschicht auf einer Halbleiterscheibe Expired - Lifetime DE60025773T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US305093 1999-05-03
US09/305,093 US6297155B1 (en) 1999-05-03 1999-05-03 Method for forming a copper layer over a semiconductor wafer

Publications (2)

Publication Number Publication Date
DE60025773D1 DE60025773D1 (de) 2006-04-13
DE60025773T2 true DE60025773T2 (de) 2006-07-20

Family

ID=23179301

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60025773T Expired - Lifetime DE60025773T2 (de) 1999-05-03 2000-05-02 Verfahren zur Herstellung einer Kupferschicht auf einer Halbleiterscheibe

Country Status (8)

Country Link
US (1) US6297155B1 (de)
EP (1) EP1050902B1 (de)
JP (4) JP4790894B2 (de)
KR (1) KR100707120B1 (de)
CN (1) CN1197128C (de)
AT (1) ATE317155T1 (de)
DE (1) DE60025773T2 (de)
SG (1) SG83793A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009152896A1 (de) 2008-06-19 2009-12-23 Rena Gmbh Vorrichtung und verfahren zur einseitigen nasschemischen und/oder elektrolytischen behandlung von gut
US8172989B2 (en) 2007-11-26 2012-05-08 Sunpower Corporation Prevention of substrate edge plating in a fountain plating process

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US7780867B1 (en) * 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
US6913680B1 (en) * 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6478936B1 (en) * 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
KR100569587B1 (ko) * 2000-06-30 2006-04-10 주식회사 하이닉스반도체 고유전체 캐패시터의 제조 방법
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
AU2002248343A1 (en) * 2001-01-12 2002-08-19 University Of Rochester Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
US6429145B1 (en) * 2001-01-26 2002-08-06 International Business Machines Corporation Method of determining electrical properties of silicon-on-insulator wafers
US6548420B2 (en) * 2001-01-26 2003-04-15 International Business Machines Corporation Measurement and analysis of mercury-based pseudo-field effect transistors
KR100385227B1 (ko) * 2001-02-12 2003-05-27 삼성전자주식회사 구리 다층 배선을 가지는 반도체 장치 및 그 형성방법
US6740221B2 (en) * 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
DE10122136B4 (de) * 2001-05-08 2006-09-28 Advanced Micro Devices, Inc., Sunnyvale Grenzflächenhohlraumüberwachung in einem Damaszener-Prozess
US6426293B1 (en) * 2001-06-01 2002-07-30 Advanced Micro Devices, Inc. Minimizing resistance and electromigration of interconnect by adjusting anneal temperature and amount of seed layer dopant
KR100705406B1 (ko) * 2001-06-19 2007-04-10 삼성전자주식회사 전기 도금층 형성 방법 및 장치
US6689686B2 (en) * 2001-09-27 2004-02-10 Texas Instruments Incorporated System and method for electroplating fine geometries
KR100422597B1 (ko) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
US6630360B2 (en) * 2002-01-10 2003-10-07 Advanced Micro Devices, Inc. Advanced process control (APC) of copper thickness for chemical mechanical planarization (CMP) optimization
JP2003213489A (ja) * 2002-01-15 2003-07-30 Learonal Japan Inc ビアフィリング方法
US6518184B1 (en) * 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US20030188975A1 (en) * 2002-04-05 2003-10-09 Nielsen Thomas D. Copper anode for semiconductor interconnects
DE10223957B4 (de) * 2002-05-31 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Ein verbessertes Verfahren zum Elektroplattieren von Kupfer auf einer strukturierten dielektrischen Schicht
US6727175B2 (en) 2002-08-02 2004-04-27 Micron Technology, Inc. Method of controlling metal formation processes using ion implantation, and system for performing same
US6660537B1 (en) * 2002-08-15 2003-12-09 National Semiconductor Corporation Method of inducing movement of charge carriers through a semiconductor material
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
US6800495B2 (en) * 2002-09-20 2004-10-05 Cypress Semiconductor Corporation Lot-optimized wafer level burn-in
US20040094511A1 (en) * 2002-11-20 2004-05-20 International Business Machines Corporation Method of forming planar Cu interconnects without chemical mechanical polishing
US20040118691A1 (en) * 2002-12-23 2004-06-24 Shipley Company, L.L.C. Electroplating method
US20040140219A1 (en) * 2003-01-21 2004-07-22 Texas Instruments Incorporated System and method for pulse current plating
DE10314502B4 (de) * 2003-03-31 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum elektrolytischen Beschichten einer Halbleiterstruktur
US20050029106A1 (en) * 2003-08-07 2005-02-10 Laila Baniahmad Reduction of defects in conductive layers during electroplating
US8084866B2 (en) * 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US20050157475A1 (en) * 2004-01-15 2005-07-21 Endicott Interconnect Technologies, Inc. Method of making printed circuit board with electroplated conductive through holes and board resulting therefrom
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7312149B2 (en) * 2004-05-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Copper plating of semiconductor devices using single intermediate low power immersion step
SG120200A1 (en) 2004-08-27 2006-03-28 Micron Technology Inc Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
JP2006131926A (ja) * 2004-11-02 2006-05-25 Sharp Corp 微細孔に対するメッキ方法、及びこれを用いた金バンプ形成方法と半導体装置の製造方法、並びに半導体装置
JP4594069B2 (ja) * 2004-12-22 2010-12-08 富士通株式会社 圧電アクチュエータの駆動方法
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20070045120A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Methods and apparatus for filling features in microfeature workpieces
JP2007123473A (ja) * 2005-10-27 2007-05-17 Alps Electric Co Ltd 軟磁性膜及びその製造方法、ならびに前記軟磁性膜を用いた薄膜磁気ヘッド及びその製造方法
JP4764718B2 (ja) * 2005-12-28 2011-09-07 新光電気工業株式会社 スルーホールの充填方法
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US8100081B1 (en) 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
JP4932370B2 (ja) * 2006-07-28 2012-05-16 日本マクダーミッド株式会社 電解めっき方法、プリント配線板及び半導体ウェハー
US20080041727A1 (en) * 2006-08-18 2008-02-21 Semitool, Inc. Method and system for depositing alloy composition
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US20080092947A1 (en) * 2006-10-24 2008-04-24 Applied Materials, Inc. Pulse plating of a low stress film on a solar cell substrate
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US7799182B2 (en) 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
WO2008084524A1 (ja) * 2007-01-09 2008-07-17 Fujitsu Microelectronics Limited 半導体装置の製造方法、および半導体装置の製造装置
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US20090114542A1 (en) * 2007-11-06 2009-05-07 Spansion Llc Process of forming an electronic device including depositing a conductive layer over a seed layer
US8784636B2 (en) * 2007-12-04 2014-07-22 Ebara Corporation Plating apparatus and plating method
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
JP5484691B2 (ja) * 2008-05-27 2014-05-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US8419964B2 (en) * 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
TW201015718A (en) * 2008-10-03 2010-04-16 Sanyo Electric Co Semiconductor device and method for manufacturing the same
CN101740478B (zh) * 2008-11-14 2013-03-27 中芯国际集成电路制造(北京)有限公司 双镶嵌方法
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
US8172646B2 (en) * 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
US8500983B2 (en) * 2009-05-27 2013-08-06 Novellus Systems, Inc. Pulse sequence for plating on thin seed layers
CN102157436A (zh) * 2010-02-11 2011-08-17 中芯国际集成电路制造(上海)有限公司 一种降低金属损伤的电镀铜方法
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
US9062388B2 (en) 2010-08-19 2015-06-23 International Business Machines Corporation Method and apparatus for controlling and monitoring the potential
JP5504147B2 (ja) * 2010-12-21 2014-05-28 株式会社荏原製作所 電気めっき方法
JP6161863B2 (ja) * 2010-12-28 2017-07-12 株式会社荏原製作所 電気めっき方法
TW201408153A (zh) * 2012-08-07 2014-02-16 Ecocera Optronics Co Ltd 改善陶瓷貫孔基板上金屬表面粗糙度之方法
US9488609B2 (en) * 2014-02-06 2016-11-08 The Boeing Company Determination of anisotropic conduction characteristics
CN105097653B (zh) * 2014-05-07 2018-05-08 中芯国际集成电路制造(上海)有限公司 一种硅通孔的结构及其制作方法
CN104269484B (zh) * 2014-10-22 2017-08-25 湘能华磊光电股份有限公司 防止蒸镀铝时led芯片电极产生麻点的方法
US10094038B2 (en) 2015-04-13 2018-10-09 Lam Research Corporation Monitoring electrolytes during electroplating
US10749278B2 (en) 2016-01-15 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of electroplating metal into recessed feature and electroplating layer in recessed feature
US10508357B2 (en) * 2016-02-15 2019-12-17 Rohm And Haas Electronic Materials Llc Method of filling through-holes to reduce voids and other defects
KR101938306B1 (ko) * 2016-04-18 2019-01-14 최상준 건식 에칭장치의 제어방법
US10480094B2 (en) * 2016-07-13 2019-11-19 Iontra LLC Electrochemical methods, devices and compositions
TWI700401B (zh) * 2018-08-21 2020-08-01 財團法人工業技術研究院 待電鍍的面板、使用其之電鍍製程、及以其製造之晶片
CN109355685A (zh) * 2018-12-06 2019-02-19 陕西理工大学 一种环节状的铜微纳米周期结构材料的制备方法
US10950519B2 (en) 2019-05-31 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
CN111041535A (zh) * 2019-12-25 2020-04-21 浙江振有电子股份有限公司 一种连续移动式电镀通孔双面板的方法
CN113629006B (zh) * 2021-07-26 2024-04-23 长江存储科技有限责任公司 形成铜结构的方法
CN113668023A (zh) * 2021-08-26 2021-11-19 长江存储科技有限责任公司 电镀方法、电镀装置以及电镀系统
CN113652716B (zh) * 2021-09-13 2022-07-12 江西新金叶实业有限公司 高镍铜阳极采用周期性反向电流电解的工艺
US11913132B2 (en) * 2022-05-18 2024-02-27 Advanced Semiconductor Engineering, Inc. Method for manufacturing a package

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046649A (en) * 1974-08-13 1977-09-06 Westinghouse Electric Corporation Forward-reverse pulse cycling anodizing and electroplating process
DE2936247A1 (de) * 1979-09-07 1981-03-19 Siemens AG, 1000 Berlin und 8000 München Einrichtung zum galvanischen abscheiden einer metallischen schicht mit vorgegebener schichtstaerke
US4514265A (en) 1984-07-05 1985-04-30 Rca Corporation Bonding pads for semiconductor devices
GB8801827D0 (en) * 1988-01-27 1988-02-24 Jct Controls Ltd Improvements in electrochemical processes
JPH02187032A (ja) * 1989-01-13 1990-07-23 Fuji Electric Co Ltd 半導体装置用バンプ電極の電解めっき方法
US5007993A (en) * 1989-06-20 1991-04-16 Hull Harry F Electrolytic processing apparatus and method with time multiplexed power supply
JPH03202499A (ja) * 1989-12-28 1991-09-04 Fujitsu Ltd メッキ膜厚の制御方法
JP2734785B2 (ja) * 1991-02-22 1998-04-02 日本電気株式会社 電解めっき用治具
JPH05109659A (ja) * 1991-10-21 1993-04-30 Nec Corp 半導体装置の製造方法
US5273642A (en) 1992-04-21 1993-12-28 Itt Corporation Apparatus and method for electroplating wafers
US5605615A (en) * 1994-12-05 1997-02-25 Motorola, Inc. Method and apparatus for plating metals
US5662788A (en) * 1996-06-03 1997-09-02 Micron Technology, Inc. Method for forming a metallization layer
JP2000510289A (ja) * 1996-12-16 2000-08-08 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 集積回路チップ上の電気めっき相互接続構造
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
JPH1192947A (ja) * 1997-09-12 1999-04-06 Ebara Corp 半導体ウエハのメッキ方法及び装置
JPH1197391A (ja) * 1997-09-16 1999-04-09 Ebara Corp 半導体ウエハー配線電解メッキ方法
JPH1197393A (ja) * 1997-09-16 1999-04-09 Ebara Corp 微細窪み内への金属堆積方法
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
JP2003268598A (ja) * 2002-03-18 2003-09-25 Fujitsu Ltd めっき装置及びめっき方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8172989B2 (en) 2007-11-26 2012-05-08 Sunpower Corporation Prevention of substrate edge plating in a fountain plating process
WO2009152896A1 (de) 2008-06-19 2009-12-23 Rena Gmbh Vorrichtung und verfahren zur einseitigen nasschemischen und/oder elektrolytischen behandlung von gut

Also Published As

Publication number Publication date
JP2011091425A (ja) 2011-05-06
JP4791594B2 (ja) 2011-10-12
JP2011063888A (ja) 2011-03-31
JP2011066447A (ja) 2011-03-31
JP5296043B2 (ja) 2013-09-25
CN1197128C (zh) 2005-04-13
KR100707120B1 (ko) 2007-04-16
JP2000353675A (ja) 2000-12-19
EP1050902A3 (de) 2001-04-11
ATE317155T1 (de) 2006-02-15
EP1050902A2 (de) 2000-11-08
JP4791593B2 (ja) 2011-10-12
DE60025773D1 (de) 2006-04-13
EP1050902B1 (de) 2006-02-01
JP4790894B2 (ja) 2011-10-12
US6297155B1 (en) 2001-10-02
CN1272685A (zh) 2000-11-08
KR20010014857A (ko) 2001-02-26
SG83793A1 (en) 2001-10-16

Similar Documents

Publication Publication Date Title
DE60025773T2 (de) Verfahren zur Herstellung einer Kupferschicht auf einer Halbleiterscheibe
DE102005014748B4 (de) Technik zum elektrochemischen Abscheiden einer Legierung mit chemischer Ordnung
DE19820878B4 (de) Verfahren zum Abscheiden einer Materialschicht auf einem Substrat
DE69901142T2 (de) Verfahren zur Herstellung einer Halbleiterschaltung mit Kupfer-Verbindungsleitungen
US6534116B2 (en) Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
DE69836313T2 (de) Verfahren zum selektiven Füllen von Gräben mit leitendem Metall
DE60015513T2 (de) Verfahren und vorrichtung zum plattieren und polieren eines halbleiterbauelements
DE69929607T2 (de) Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102018107374A1 (de) Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen
DE10223957B4 (de) Ein verbessertes Verfahren zum Elektroplattieren von Kupfer auf einer strukturierten dielektrischen Schicht
DE10314502B4 (de) Verfahren zum elektrolytischen Beschichten einer Halbleiterstruktur
DE102005034182A1 (de) Halbleitervorrichtung und Herstellungsverfahren dafür
DE102004017411B4 (de) In-situ-Metallbarriereablagerung für Sputterätzen auf einer Verbindungsstruktur
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE10341059B4 (de) Integrierte Schaltungsanordnung mit Kondensator und Herstellungsverfahren
DE102004039803B4 (de) Verfahren zur Herstellung einer Leitbahnanordnung mit erhöhter kapazitiver Kopplung sowie zugehörige Leitbahnanordnung
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE10319135B4 (de) Verfahren zum Elektroplattieren von Kupfer über einer strukturierten dielektrischen Schicht, um die Prozess-Gleichförmigkeit eines nachfolgenden CMP-Prozesses zu verbessern
DE102004003863A1 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
EP1218569B1 (de) Galvanisierungslösung für die galvanische abscheidung von kupfer
DE102007025341B4 (de) Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
DE102017130683A1 (de) Kontaktloch
DE69837251T2 (de) Elektrochemische Abscheidung von Metallen in Halbleiteranordnungen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition