DE102018107374A1 - Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen - Google Patents

Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen Download PDF

Info

Publication number
DE102018107374A1
DE102018107374A1 DE102018107374.7A DE102018107374A DE102018107374A1 DE 102018107374 A1 DE102018107374 A1 DE 102018107374A1 DE 102018107374 A DE102018107374 A DE 102018107374A DE 102018107374 A1 DE102018107374 A1 DE 102018107374A1
Authority
DE
Germany
Prior art keywords
substrate
layer
bias power
temperature
substrate temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018107374.7A
Other languages
English (en)
Other versions
DE102018107374B4 (de
Inventor
Nai-Hao YANG
Hung-Wen Su
Kuan-Chia Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018107374A1 publication Critical patent/DE102018107374A1/de
Application granted granted Critical
Publication of DE102018107374B4 publication Critical patent/DE102018107374B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Die vorliegende Offenbarung stellt Verfahren zum Ausbilden eines leitfähigen Füllmaterials (z. B. eines leitfähigen Merkmals) durch einen physikalischen Dampfabscheidungs-(PVD)-Prozess bereit Bei einer Ausführungsform umfasst ein Verfahren zum Ausbilden eines leitfähigen Füllmaterials auf einem Substrat ein Unterhalten auf einer ersten Substrattemperatur in einem ersten Bereich für eine erste Zeitdauer beim Ausbilden einer Vorschicht eines leitfähigen Füllmaterials auf einem Substrat, ein Bereitstellen einer thermischen Energie für das Substrat, um das Substrat auf einer zweiten Substrattemperatur in einem zweiten Bereich für eine zweite Zeitdauer zu unterhalten, wobei die zweite Substrattemperatur höher ist als die erste Substrattemperatur, und ein kontinuierliches Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf einer dritten Substrattemperatur in einem dritten Bereich für eine dritte Zeitdauer zu unterhalten, um eine Volumenschicht des leitfähigen Füllmaterials auf dem Substrat auszubilden.

Description

  • HINTERGRUND
  • Die Branche integrierter Halbleiterschaltkreise (IS) hat exponentielles Wachstum erfahren. Technologische Fortschritte bei Materialien und Entwurf von IS haben zu Generationen von IS geführt, wobei jede Generation kleinere und komplexere Schaltkreise aufweist als die vorhergehende Generation. Im Verlauf der Evolution von IS hat sich eine funktionale Dichte (z. B. die Anzahl miteinander verbundener Vorrichtungen pro Chip-Fläche) im Allgemeinen erhöht, während sich eine geometrische Größe (z. B. die kleinste Komponente (oder Leitung), welche unter Verwendung eines Herstellungsprozesses erzeugt werden kann) vermindert hat. Dieser Prozess der Maßstabverkleinerung stellt im Allgemeinen durch Erhöhen einer Herstellungseffizienz und durch Senken dazugehöriger Kosten Vorteile bereit. Maßstabverkleinerung hat jedoch auch zu Herausforderungen geführt, welche sich bei vorhergehenden Generationen bei größeren Geometrien nicht gestellt haben. Eine ungenaue und ungeeignete Steuerung des Abscheidungs- und Strukturierungsprozesses während der Metallisierung bei der Verbindungsherstellung kann ein elektrisches Leistungsvermögen der Vorrichtungsstrukturen nachteilig verschlechtern.
  • Figurenliste
  • Gesichtspunkte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen wird. Es ist anzumerken, dass gemäß der normalen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung willkürlich erhöht oder reduziert sein.
    • 1 ist ein Ablaufdiagramm, welches ein Beispielverfahren zum Herstellen einer Verbindungsstruktur einer Halbleitervorrichtung gemäß manchen Ausführungsformen illustriert.
    • 2A bis 2I illustrieren Querschnittsansichten einer Halbleitervorrichtung bei verschiedenen Herstellungsstufen gemäß manchen Ausführungsformen.
    • 3A illustriert eine grafische Darstellung des Temperaturverlaufs, welche als eine Funktion der Prozesszeit bei verschiedenen Herstellungsstufen der 1 gemäß manchen Ausführungsformen aufgetragen ist.
    • 3B illustriert eine grafische Darstellung der Vorspannungsleistung, welche als eine Funktion der Prozesszeit bei verschiedenen Herstellungsstufen der 1 gemäß manchen Ausführungsformen aufgetragen ist.
    • 4 illustriert eine Querschnittsdarstellung einer Halbleitervorrichtung, bei welcher ein leitfähiges Merkmal, welches durch das Beispielverfahren der 1 ausgebildet wurde, gemäß manchen Ausführungsformen eingesetzt werden kann.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des vorgestellten Gegenstands bereit Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sind nicht als einschränkend vorgesehen. Beispielsweise kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in unmittelbarem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal derartig ausgebildet werden können, dass das erste und das zweite Merkmal nicht in unmittelbarem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszahlen und/oder Bezugszeichen bei den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und diktiert in sich keine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen.
  • Weiterhin können relative räumliche Begriffe, wie beispielsweise „unterhalb“, „unter“, „niedriger“, „über“, „höher“ und dergleichen, hier zur Vereinfachung der Beschreibung verwendet werden, um eine Beziehung eines Elements oder Merkmals zu einem anderen Element (Elementen) oder Merkmal (Merkmalen) zu beschreiben, wie in den Figuren illustriert. Diese relativen räumlichen Begriffe sind vorgesehen, verschiedene Orientierungen der Vorrichtung beim Gebrauch oder im Betrieb zusätzlich zu der Orientierung zu umfassen, welche in den Figuren dargestellt ist. Die Vorrichtung kann auf andere Weise orientiert sein (um 90 Grad gedreht oder in anderen Orientierungen) und die hier verwendeten relativen räumlichen Deskriptoren können dementsprechend ebenso interpretiert werden.
  • Die vorliegende Offenbarung betrifft im Allgemeinen Halbleitervorrichtungen und insbesondere Verbindungsstrukturen, welche in Halbleitervorrichtungen ausgebildet werden. Die vorliegende Offenbarung stellt Verfahren zum Ausbilden eines leitfähigen Füllmaterials (z.B. eines leitfähigen Merkmals) durch einen physikalischen Dampfabscheidungs-(PVD)-Prozess bereit. Die vorliegende Offenbarung stellt beispielhafte Ausführungsformen, welche leitfähige Merkmale betreffen, wie beispielsweise Metallkontakte, Kontaktlöcher, Leitungen usw., welche in Halbleitervorrichtungen ausgebildet werden, und Verfahren zum Ausbilden solcher leitfähigen Merkmale bereit. Insbesondere kann bei manchen Beispielen ein leitfähiges Füllmaterial für ein leitfähiges Merkmal unter Verwendung eines physikalischen Dampfabscheidungs-(PVD)-Prozesses mit mehreren Schritten ausgebildet werden, welche während des Prozesses geregelt werden. Ein physikalischer Dampfabscheidungs-(PVD)-Prozess, welcher zum Ausbilden der leitfähigen Merkmale verwendet wird, kann bei manchen Ausführungsformen komplizierte Prozesse vermeiden, wie beispielsweise Überführen von Substraten zwischen Nass-/Trockenverarbeitungskammern, was die Wahrscheinlichkeit einer Oxidation des leitfähigen Merkmals erhöhen kann. Durch Einsetzen des physikalischen Dampfabscheidungs-(PVD)-Prozesses (z.B. eines Trockenprozesses) kann eine Impfschicht, welche typischerweise vor dem Ausbilden eines leitfähigen Füllmaterials unter Verwendung eines elektrolytischen Metallabscheidungsprozesses (z. B. eines Nassprozesses) eingesetzt wird, beseitigt werden. Zusätzlich kann bei manchen Beispielen eine geeignete Steuerung des physikalischen Dampfabscheidungs-(PVD)-Prozesses helfen, leitfähige Merkmale mit minimalem Lecrraum/Säumen auszubilden, welche in einer Öffnung mit hohem Seitenverhältnis mit hoher Lückenfüllleistung ausgebildet werden.
  • Hier beschriebene beispielhafte Ausführungsformen sind in dem Kontext des Ausbildens leitfähiger Merkmale (z. B. eines leitfähigen Füllmaterials) beim Back-End-Of-the-Line-(BEOL)-Verarbeiten beschrieben. Implementierungen einiger Gesichtspunkte der vorliegenden Offenbarung können bei anderen Prozessen verwendet werden. Beispielsweise können leitfähige Merkmale beim Front-End-Of-the-Line-(FEOL)-Verarbeiten und/oder beim Middle-End-Of-the-Line-(MEOL)-Verarbeiten ausgebildet werden. Einige Variationen der beispielhaften Verfahren und Strukturen sind beschrieben. Durchschnittsfachleute verstehen unmittelbar andere Modifikationen, welche angefertigt werden können, welche als innerhalb des Schutzumfangs der anderen Ausführungsformen liegend angesehen werden. Obwohl Verfahrensausführungsformen in einer bestimmten Reihenfolge beschrieben werden können, können verschiedene andere Verfahrensausführungsformen in beliebiger logischer Reihenfolge durchgeführt werden und können weniger oder mehr Schritte umfassen als hier beschrieben ist. Bei manchen Figuren können einige Bezugszeichen der darin illustrierten Komponenten oder Merkmale ausgelassen sein, um ein Verschleiern anderer Komponenten oder Merkmale zu vermeiden; dies dient einer Vereinfachung der Darstellung der Figuren.
  • 1 ist ein Ablaufdiagramm 100, welches ein Beispielverfahren zum Herstellen einer Verbindungsstruktur einer Halbleitervorrichtung gemäß manchen Ausführungsformen illustriert. 2A bis 2I illustrieren Querschnittsansichten der Halbleitervorrichtung bei verschiedenen Herstellungsstufen gemäß dem Ablaufdiagramm der 1. Durchschnittsfachleute sollten erkennen, dass der gesamte Prozess zum Ausbilden einer Halbleitervorrichtung und der dazugehörigen Strukturen nicht in den Zeichnungen illustriert oder hier beschrieben ist.
  • Das Ablaufdiagramm 100 beginnt bei Operation 102 durch Ausbilden einer oder mehrerer dielektrischer Schichten (z. B. einer Ätzstoppschicht 206, einer zweiten dielektrischen Schicht 208 und einer Antireflexbeschichtung (ARC) 210) über einer Struktur einer Halbleitervorrichtung 200, wie in 2A gezeigt. Die Struktur der Halbleitervorrichtung 200 kann ein Halbleitersubstrat 202 und eine erste dielektrische Schicht 204 umfassen, welche ein elektrisch leitfähiges Merkmal 203 enthalten, welches über dem Halbleitersubstrat 202 ausgebildet ist. Die Struktur der Halbleitervorrichtung 200 kann eine mehrschichtige Struktur bei verschiedenen Herstellungsstufen eines integrierten Schaltkreises (IS) sein. Beispielsweise kann das leitfähige Merkmal 203 ein Abschnitt einer Verbindungsstruktur während einer Back-End-Of-the-Line-(BEOL)-Verarbeitung sein, wobei einzelne Vorrichtungen oder Komponenten z. B. mit Metallleitungen, Kontaktlöchern und/oder leitfähigen Füllmaterialien, miteinander verbunden werden. Es ist anzumerken, dass zusätzliche Strukturen, Materialschichten oder Vorrichtungsstrukturen vor dem Ausbilden der ersten dielektrischen Schicht 204 mit dem leitfähigen Merkmal 203 darauf auf dem Halbleitersubstrat 202 ausgebildet werden können. Beispielsweise kann eine Front-End-Of-the-Line-(FEOL)-Struktur, wie beispielsweise eine Gate-Struktur, eine Kontaktstruktur oder andere geeignete Strukturen, vor dem Ausbilden der Ätzstoppschicht 206 auf dem Halbleitersubstrat 202 ausgebildet werden.
  • Das Halbleitersubstrat 202 kann ein Volumenhalbleitersubstrat, ein Halbleiter-auf-Isolator-(SOI)-Substrat oder ein anderes Substrat sein oder umfassen. Das Halbleitermaterial des Halbleitersubstrats 202 kann ein Material umfassen oder sein, welches aus mindestens einem Material aus der Gruppe ausgewählt ist, bestehend aus Silizium (z. B. kristallines Silizium wie Si<100> oder Si<111>), Silizium-Germanium, Germanium, Galliumarsenid oder einem anderen Halbleitermaterial. Das Halbleitermaterial kann dotiert oder undotiert sein, wie beispielsweise mit einem p-leitenden oder einem n-leitenden Dotierungsstoff. Bei manchen Ausführungsformen, bei welchen eine SOI-Struktur für das Halbleitersubstrat 202 eingesetzt wird, kann das Halbleitersubstrat 202 ein Halbleitermaterial umfassen, welches auf einer Isolatorschicht angeordnet ist, welche ein vergrabener Isolator sein kann, welcher in einem Halbleitersubstrat angeordnet ist oder welche ein Glas- oder Saphir-Substrat sein kann. Bei hier dargestellten Ausführungsformen ist das Halbleitersubstrat 202 ein Material, welches Silizium enthält, wie beispielsweise ein kristallines Silizium-Substrat. Ferner ist das Halbleitersubstrat 202 nicht auf eine bestimmte Größe, Form oder bestimmte Materialien begrenzt. Das Halbleitersubstrat 202 kann ein rundes/kreisförmiges Substrat mit einem Durchmesser von 200 mm, einem Durchmesser von 300 mm oder einem anderen Durchmesser, wie beispielsweise u. a. von 450 mm sein. Das Halbleitersubstrat 202 kann auch jedes polygonale, quadratische, rechteckig gekrümmte oder auf andere Weise nicht kreisförmige Werkstück sein, wie beispielsweise bei Bedarf ein polygonales Substrat.
  • Auf dem Halbleitersubstrat 202 können sich verschiedene Vorrichtungen befinden. Beispielsweise kann das Halbleitersubstrat 202 Feldeffekttransistoren (FETs), wie beispielsweise Fin-FETs (FinFETs), planare FETs, Vertical-Gate-All-Around-FETs (VGAA FETs) oder dergleichen; Dioden; Kondensatoren; Induktoren und andere Vorrichtungen umfassen. Vorrichtungen können beispielsweise vollständig innerhalb des Halbleitersubstrats 202, in einem Abschnitt des Halbleitersubstrats 202 und in einem Abschnitt einer oder mehrerer überliegender Schichten und/oder vollständig in einer oder mehreren überliegenden Schichten ausgebildet sein. Die hier beschriebene Verarbeitung kann verwendet werden, um die Vorrichtungen auszubilden und/oder zu verbinden, um einen integrierten Schaltkreis (IS) auszubilden. Der integrierte Schaltkreis kann jeder Schaltkreis sein, wie beispielsweise für einen anwendungsspezifischen integrierten Schaltkreis (ASIC), einen Prozessor, einen Speicher oder eine andere Schaltung.
  • Die erste dielektrische Schicht 204, welche über dem Substrat 202 ausgebildet ist, kann eine oder mehrere Schichten jedes geeigneten dielektrischen Materials sein. Beispielsweise kann die erste dielektrische Schicht 204 eine einzelne Schicht sein, welche ein Oxid, ein Nitrid, ein Material mit geringem k-Wert, ein Material, welches Silizium-Kohlenstoff enthält, oder ein anderes geeignetes dielektrisches Material umfasst. Bei manchen Beispielen umfasst die erste dielektrische Schicht 204 einen Schichtenstapel, welcher ein Oxid, ein Nitrid, ein Dielektrikum mit geringem k-Wert oder ein anderes geeignetes dielektrisches Material umfasst. Beispielhafte Materialien für die erste dielektrische Schicht 204 umfassen, ohne jedoch darauf beschränkt zu sein, Siliziumoxid, Siliziumkarbid, Kohlenstoff-dotierte Siliziumoxide, Siliziumnitrid, Siliziumoxinitrid, amorphen Kohlenstoff, geeignete dielektrische Materialien mit einer Dielektrizitätskonstante kleiner als 4 und jede Kombination davon. Beispielsweise kann die erste dielektrische Schicht 204 ein Schichtenstapel sein, welcher einen Ätzstopp (umfassend z. B. Aluminiumoxid und/oder Aluminiumnitrid) und ein Dielektrikum mit geringem k-Wert (umfassend z. B. Kohlenstoff-dotiertes Siliziumoxid) über dem Ätzstopp umfasst. Während die erste dielektrische Schicht 204 beschrieben ist als über dem Substrat 202 ausgebildet, können eine oder mehrere zwischenliegende Schichten zwischen einer Oberfläche des Substrats 202 und der ersten dielektrischen Schicht 204 vorhanden sein. Beispielsweise kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in unmittelbarem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal derartig ausgebildet werden können, dass das erste und das zweite Merkmal nicht in unmittelbarem Kontakt stehen müssen.
  • Das elektrisch leitfähige Merkmal 203 kann unter Verwendung jedes geeigneten Verfahrens, wie beispielsweise eines Damaszierprozesses, in der ersten dielektrischen Schicht 204 ausgebildet werden. Das leitfähige Merkmal 203 der Halbleitervorrichtung 200 kann einem Polierprozess unterworfen werden, wie beispielsweise einem chemisch-mechanischen Polier-(CMP)-Prozess, so dass die obere Oberfläche 201 des elektrisch leitfähigen Merkmals 203 und eine obere Oberfläche 205 der ersten dielektrischen Schicht 204 im Wesentlichen planparallel sind, wie in 2A gezeigt. Das elektrisch leitfähige Merkmal 203 kann ein Metall, eine Metalllegierung, ein Übergangsmetall, eine Übergangsmetalllegierung oder dergleichen sein oder umfassen. Beispielsweise kann das elektrisch leitfähige Merkmal 203 aus Kupfer, Aluminium, Gold, Wolfram, Kobalt, Nickel usw. ausgebildet werden. Bei anderen Beispielen wird das elektrisch leitfähige Merkmal 203 aus Kupfer, einem kupferhaltigen Metall, einer Kupferlegierung oder einer kupferhaltigen Legierung ausgebildet. Bei einem Beispiel ist das elektrisch leitfähige Merkmal 203 Kupfer.
  • Obwohl bei manchen hier beschriebenen Beispielen das leitfähige Merkmal 203, wie in 2A bis 2I gezeigt, bei einer Back-End-Of-the-Line-(BEOL)-Verbindungsstruktur eingesetzt wird, ist anzumerken, dass das leitfähige Merkmal 203 und auch die leitfähigen Merkmale, welche bei den nachfolgenden Prozessen darauf ausgebildet werden sollen, auch in jeder Struktur, wie beispielsweise einer Kontaktstruktur in einem Zwischenschicht-Dielektrikum (ILD) bei einer Front-End-Of-the-Line-(FEOL)-Verarbeitung, oder anderen geeigneten Strukturen vorliegen können.
  • Die Ätzstoppschicht 206 wird über den leitfähigen Merkmalen 203 und der ersten dielektrischen Schicht 204 ausgebildet, wie in 2A gezeigt. Die Ätzstoppschicht 206 kann einen Mechanismus bereitstellen, um einen Ätzprozess beim Ausbilden z. B. von Kontaktlöchern zu stoppen. Die Ätzstoppschicht 206 kann unter Verwendung jedes geeigneten Verfahrens ausgebildet werden, wie beispielsweise einer physikalischen Dampfabscheidung (PVD), einer Atomlagenabscheidung (ALD), einer chemischen Dampfabscheidung (CVD) oder einer plasmagestützten chemischen Dampfabscheidung (PECVD). Die Ätzstoppschicht 206 wird so ausgewählt, dass sie verschiedene Ätzeigenschaften (z.B. Ätzselektivitäten) als eine zweite dielektrische Schicht 208 aufweist, welche nachfolgend über der Ätzstoppschicht 206 und/oder als die erste dielektrische Schicht 204 ausgebildet werden soll. Geeignete Materialien für die Ätzstoppschicht 206 können Siliziumnitrid, Siliziumkarbid, Stickstoff-dotiertes Siliziumkarbid, Siliziumoxinitrid, ein Metalloxid, wie beispielsweise Hafniumoxid oder Aluminiumoxid, und jede Kombination davon umfassen. Es wird in Betracht gezogen, dass, während die Ätzstoppschicht 206 als eine einzelne Schicht gezeigt ist, die Ätzstoppschicht 206 ein Schichtenstapel sein kann, welcher mindestens zwei Schichten der Materialien, welche hier erörtert wurden, und/oder andere Materialien umfasst.
  • Die zweite dielektrische Schicht 208 und die Antireflexbeschichtung (ARC) 210 werden sequenziell über der Ätzstoppschicht 206 ausgebildet, wie in 2A gezeigt. Die zweite dielektrische Schicht 208 kann als eine Isolierschicht verwendet werden. Die zweite dielektrische Schicht 208 kann eine Schicht mit geringer Dielektrizitätskonstante (geringem k-Wert) sein, welche eine Dielektrizitätskonstante kleiner als ungefähr 4, wie beispielsweise kleiner als 3,9, beispielsweise von ungefähr 3,2 oder kleiner aufweist. Bei manchen Implementierungen ist das zweite dielektrische Material 208 ein Material mit ultra-geringem k-Wert, wie beispielsweise ein dielektrisches Material mit einer Dielektrizitätskonstante kleiner als 2,5. Geeignete Materialien für die zweite dielektrische Schicht 208 können Kohlenstoff-dotiertes Siliziumoxid (z. B. Siliziumoxikarbid (SiOC), auch als organo-Silikatglas (OSG) bezeichnet), undotiertes Silikatglas (USG), fluoriertes Silikatglas (FSG), Phosphorsilikatglas (PSG), Bor-Phosphorsilikatglas (BPSG), Tetraethylorthosilikat-(TEOS)-basiertes Oxid, Aufschleuderglas (SOG), organisches Polymer und jede Kombination davon umfassen, ohne jedoch darauf beschränkt zu sein. In einem Fall, in welchem die Ätzstoppschicht 206 Siliziumkarbid ist, kann die zweite dielektrische Schicht 208 beispielsweise Siliziumoxikarbid oder ein anderes oben stehend beschriebenes Material sein, welches einen verschiedenen Widerstand gegenüber Ätzmitteln aufweist, welche bei den nachfolgenden Prozessen verwendet werden.
  • Die Antireflexbeschichtung 210 wird verwendet, um Reflexionen von den unterliegenden Schichten zu unterdrücken oder zu minimieren, welche reflektierend sind für ultraviolettes Licht, welches in einem nachfolgenden lithographischen Verfahren verwendet wird. Beispielhafte Materialien für die Antireflexbeschichtung 210 können Siliziumoxid, Siliziumoxikarbid, Siliziumoxinitrid, Kohlenwasserstoff-haltiges Siliziumoxid, Siliziumnitrid, Titannitrid, Tantalnitrid, Titan-haltiges Material, Titan-haltiges Material, ein organisches Material und jede Kombination davon umfassen. Bei manchen Implementierungen ist die Antireflexbeschichtung 210 ein Stickstoff-freies Material, wie beispielsweise ein Stickstoff-freies Oxid. Bei einer Implementierung ist die Antireflexbeschichtung 210 ein Stickstoff-freies Siliziumoxikarbid. Die Antireflexbeschichtung 210 kann über der zweiten dielektrischen Schicht 208 unter Verwendung jedes geeigneten Verfahrens ausgebildet werden, wie beispielsweise CVD, PECVD, CVD mit hochdichtem Plasma (HDP-CVD), eines Aufschleuder-Beschichtungsprozesses usw. Ein Planarisierungsprozess, wie beispielsweise ein CMP-Prozess, kann durchgeführt werden, um die zweite dielektrische Schicht 208 und/oder die Antireflexbeschichtung 210 zu planarisieren.
  • Bei Operation 103 wird eine Öffnung 212 in der zweiten dielektrischen Schicht 208 ausgebildet. Die Öffnung wird durch die ARC 210, die zweite dielektrische Schicht 208 und die Ätzstoppschicht 206 hindurch ausgebildet, wie in 2B gezeigt Die Öffnung 212 kann unter Verwendung einer strukturierten Fotoresistschicht, welche eine Öffnungsstruktur definiert, gefolgt von einem geeigneten Ätzprozess ausgebildet werden. Der Ätzprozess verwendet Ätzgasmischungen und Plasmaparameter, welche eingesetzt werden, um die Ätzstoppschicht 212 zu ätzen. Die strukturierte Fotoresistschicht wird dann unter Verwendung jedes geeigneten Ablösungsprozesses entfernt. Aus Gründen der Klarheit wird in 2B nur eine Öffnung 212 gezeigt, welche von der zweiten dielektrischen Schicht 208 bis zu der Ätzstoppschicht 206 ausgebildet ist. Es ist anzumerken, dass zusätzliche Maskenschichten, welche eine harte Maske oder eine Fotoresistschicht umfassen, eingesetzt werden können, um ein Ausbilden der Öffnung 212 in der zweiten dielektrischen Schicht 208 und in der Ätzstoppschicht 206 zu ermöglichen. Leitfähige Merkmale können in der Öffnung 212 ausgebildet werden, um mit dem darunter ausgebildeten leitfähigen Merkmal 203 verbunden zu werden. Die Öffnung 212 kann jede Öffnung umfassen, wie beispielsweise einen Graben mit einem Kontaktloch zu dem leitfähigen Merkmal 203 umfassen, welches unter Verwendung eines dualen Damaszierprozesses ausgebildet werden kann.
  • Bei einer Implementierung weist die Öffnung 212 eine Höhenabmessung in einem Bereich von ungefähr 50 Ångström bis ungefähr 2000 Ångström auf, wie beispielsweise ungefähr 80 Ångström bis ungefähr 1000 Ångström und insbesondere von ungefähr 100 Ångström bis ungefähr 500 Ångström. Die Öffnung 212 exponiert einen Abschnitt der oberen Oberfläche 201 der leitfähigen Merkmale 203, um eine elektrische Verbindung bereitzustellen. Die Öffnung 212 weist eine Breitenabmessung in einem Bereich von ungefähr 70 Ångström bis ungefähr 120 Ångström auf.
  • Bei Operation 104 werden eine Sperrschicht 214 und eine Mantelschicht 216 sequenziell entlang Seitenwänden 225 der Öffnung 212 ausgebildet, wie in 2C bzw. 2D gezeigt. Die Sperrschicht 214 kann gleichmäßig in der Öffnung 212 (z. B. auf der Seitenwand 225 der Öffnung 212 und der freiliegenden Oberfläche des leitfähigen Merkmals 203) und über der Antireflexbeschichtung (ARC) 210 oder, wenn keine ARC 210 vorhanden ist, über der zweiten dielektrischen Schicht 208 abgeschieden werden, wie in 2C gezeigt. Die Sperrschicht 214 kann Metall enthaltendes Material, wie beispielsweise Titan enthaltendes Material, wie beispielsweise Titannitrid oder Titanoxid, oder Tantal enthaltendes Material, beispielsweise Tantalnitrid oder Tantaloxid, eine Kombination davon oder dergleichen sein oder umfassen, und sie kann durch ALD, CVD oder ein anderes Abscheidungsverfahren abgeschieden werden. Die Sperrschicht 214 kann eine Dicke in einem Bereich von ungefähr 5 Å bis ungefähr 100 Å aufweisen, wie beispielsweise von ungefähr 8 Å bis ungefähr 50 Å, beispielsweise ungefähr 20 Å.
  • Die Mantelschicht 216 kann gleichmäßig auf der Sperrschicht 214 abgeschieden werden, wie in 2D gezeigt Die Mantelschicht 216 kann ein Metall enthaltendes Material sein, wie beispielsweise Kobalt, Wolfram, Kupfer, Ruthenium, Aluminium, Gold, Silber, Legierungen davon, eine Kombination davon oder dergleichen, und sie kann durch CVD, ALD, PVD oder ein anderes Abscheidungsverfahren abgeschieden werden. Die Mantelschicht 214 kann eine Dicke in einem Bereich von ungefähr 5 Å bis ungefähr 100 Å aufweisen, wie beispielsweise von ungefähr 8 Å bis ungefähr 50 Å und insbesondere beispielsweise von ungefähr 20 Å bis ungefähr 30 Å. Bei einem Beispiel ist die Mantelschicht 216 ein Ruthenium- oder Kobalt-Material.
  • Bei Operation 106 wird ein Abscheidungsprozess für leitfähiges Füllmaterial durchgeführt. Bei einem Beispiel kann das leitfähige Füllmaterial Kobalt, Wolfram, Kupfer, Ruthenium, Aluminium, Gold, Silber, Legierungen davon, eine Kombination davon oder dergleichen sein oder umfassen, und es kann durch CVD, ALD, PVD oder ein anderes Abscheidungsverfahren abgeschieden werden. Bei dem hier beschriebenen Beispiel ist das leitfähige Füllmaterial ein Kupfer-Material, welches durch einen physikalischen Dampfabscheidungs-(PVD)-Prozess ausgebildet wird, welcher drei Operationsstufen umfasst, wie in 1 dargestellt. Bei einer ersten Operationsstufe 106(a) wird eine Vorschicht 252 des leitfähigen Füllmaterials 253 (wie in 2H gezeigt) auf der Mantelschicht 214 in der Öffnung 212 sowie auf der oberen Oberfläche der Halbleitervorrichtung 200 ausgebildet, wie in 2E gezeigt. Die Vorschicht 252 kann später gewachsen werden, um ein leitfähiges Füllmaterial auszubilden, welches in die Öffnung 212 gefüllt ist, wie beispielsweise bei Durchführung der drei Operationsstufen des Abscheidungsprozesses für leitfähiges Füllmaterial bei Operation 106.
  • Bei der ersten Operationsstufe 106(a) wird das Substrat 202 auf einem Substratträgersockel in einer physikalischen Dampfabscheidungs-(PVD)-Verarbeitungskammer angeordnet, um die Vorschicht 252 auszubilden, wie in 2E gezeigt. Die Vorschicht 252 wird bei einer Temperatur geringer als ungefähr 50 Grad Celsius ausgebildet, z. B. bei Raumtemperatur, wie beispielsweise in einem Bereich von ungefähr 5 Grad Celsius bis ungefähr 50 Grad Celsius. Während des Ausbildens der Vorschicht 252 kann die Heizvorrichtung in dem Substratträgersockel ausgeschaltet sein, wobei dem Substrat 202 z. B. keine oder minimale thermische Energie bereitgestellt wird. Es wird angenommen, dass eine Abscheidung der Vorschicht 252 bei Raumtemperatur vermeiden kann, dass die Vorschicht 252 früh agglomeriert, was oft in einer herkömmlichen physikalischen Dampfabscheidungs-(PVD)-Verarbeitungskammer zu finden ist, was zu einer unerwünschten Überhangstruktur führen kann, welche an Ecken der Öffnungen ausgebildet wird. Durch Steuerung des Abscheidungsprozesses bei der frühen Stufe des Ausbildens der Vorschicht 252 bei Raumtemperatur kann eine relativ glatte und gleichmäßige Struktur der Vorschicht 252 an dem Eckabschnitt 218 der Öffnung 212 sowie an dem Seitenwandabschnitt 231 und dem Bodenabschnitt 220 der Öffnung 212 ausgebildet werden, wie in 2E gezeigt.
  • 3A stellt eine grafische Darstellung des Temperaturverlaufs dar, welcher als eine Funktion der Verarbeitungszeit bei verschiedenen Verarbeitungsstufen der 1 aufgetragen ist Die relativ geringe Substrattemperatur T1, wie in 3A gezeigt, z. B. eine Temperatur geringer als 50 Grad Celsius (z. B. die Raumtemperatur), kann ermöglichen, dass die Elemente gleichmäßig in einer stetigen Weise gewachsen werden, welche frühe Agglomeration verhindert. Folglich ist der Dickenunterschied der Vorschiebt 252 zwischen dem Eckabschnitt 218, dem Bodenabschnitt 220 und dem Seitenwandabschnitt 231 der Öffnung 212 relativ klein. Beispielsweise kann eine Dicke 225 der Vorschicht 252 an dem Eckabschnitt 218 auf weniger als ungefähr 50 Prozent Unterschied einer Dicke 232 der Vorschicht 252 an dem Seitenwandabschnitt 231 gesteuert werden (z. B. ist der Dickenunterschied (ΔTHK) zwischen der Dicke 225 und der Dicke 232 geringer als 50 Prozent). Eine Dicke 221 der Vorschicht 252 an dem Bodenabschnitt 220 kann der Dicke 232 der Vorschicht 252 an dem Seitenwandabschnitt 231 oder der Dicke 225 der Vorschicht 252 an dem Eckabschnitt 218 ähnlich sein, wie beispielsweise weniger als 30 Prozent Unterschied.
  • Beispielsweise wird die Dicke 225 der Vorschicht 252 an dem Eckabschnitt 218 so gesteuert, dass sie in einem Bereich von ungefähr 100 Å bis ungefähr 280 Å liegt, während die Dicke 232 des Seitenwandabschnitts 231 so gesteuert werden kann, dass sie in einem Bereich von ungefähr 80 Å bis ungefähr 200 Å liegt, und die Dicke 221 an dem Bodenabschnitt 220 kann so gesteuert werden, dass sie in einem Bereich von ungefähr 80 Å bis ungefähr 200 Å liegt. Die Dicke 235 von der Oberfläche 228 der Mantelschicht 216 kann in einem Bereich von ungefähr 100 Å bis ungefähr 250 Å, wie beispielsweise bei ungefähr 200 Å, liegen, nachdem die Abscheidung bei Raumtemperatur bei der ersten Operationsstufe 106(a) abgeschlossen ist.
  • Bei herkömmlichen Praktiken kann eine frühe Agglomeration der Elemente bei den anfänglichen Stufen des Abscheidungsprozesses eine relativ große Menge der Elemente erzeugen, welche an dem Eckabschnitt 218 der Öffnung 212 ausgebildet werden, was zu einem frühen Verschluss der Öffnung 212 führen kann und unerwünschte Hohlräume oder Säume innerhalb der Öffnung 212 ausbilden kann. Durch Einsetzen der Ausbildung der Vorschicht 252 mit gesteuerten Profilen an dem Eckabschnitt 218, dem Bodenabschnitt 220 und dem Seitenwandabschnitt 231 der Öffnung 212 kann ein relativ gleichmäßiges Profil der Vorschicht 252 mit guter Schrittabdeckung erhalten werden.
  • Bei einem Beispiel wird die Abscheidung der Vorschicht 252 bei Raumtemperatur mit einer relativ geringen Vorspannungsleistung P1, wie in 3B gezeigt, (z. B. mit einer Abscheidungsbetriebsweise bei einer geringen Vorspannungsleistung) welche an den Substratträgersockel geliefert wird, gefolgt von einer relativ hohen Vorspannungsleistung durchgeführt (z. B. mit einer Abscheidungsbetriebsweise bei einer hohen Vorspannungsleistung). 3B stellt eine grafische Darstellung der Vorspannungsleistung dar, welcher als eine Funktion der Verarbeitungszeit bei verschiedenen Verarbeitungsstufen der 1 aufgetragen ist Die geringe Vorspannungsleistung hilft, dass die Materialien, welche sich von dem Ziel aus der Verarbeitungskammer gelöst haben, beschleunigt werden und mit einer relativ langsameren Geschwindigkeit in Richtung auf das Substrat gezogen werden, wobei den Atomen/Elementen aus dem Ziel ermöglicht wird, langsam und schonend auf das Substrat zu fallen. Dadurch wird ein relativ glattes und gleichmäßiges Profil der Vorschicht 252 mit einer guten Schrittabdeckung erhalten, und die Wahrscheinlichkeit des frühen Verschlusses der Öffnung 212 kann beseitigt oder reduziert werden. Weiterhin verhindert die geringe Vorspannungsleistung auch eine Beschädigung der unterliegenden Sperrschicht 214 und Mantelschicht 216. Die geringere Vorspannungsleistung, welche während der Abscheidung bei Raumtemperatur angelegt wird, kann für eine Zeitdauer fortgesetzt werden, bis die erwünschte Dicke der Vorschicht 252 erreicht ist. Beispielsweise kann die geringere Vorspannungsleistung, welche während der Abscheidung bei Raumtemperatur angelegt wird, terminiert werden, wenn die Dicke 235 der Vorschicht 252 von der Oberfläche 228 der Mantelschicht 216 in einem Bereich von ungefähr 80 Å bis ungefähr 150 Å, wie beispielsweise bei ungefähr 130 Å, liegt (z. B. eine erste Dicke, welche bei der Betriebsweise mit geringer Vorspannungsleistung ausgebildet wird). Die Prozessdauer für die geringere Vorspannungsleistung während der Abscheidung bei Raumtemperatur kann in einem Bereich von ungefähr 20 Sekunden bis ungefähr 100 Sekunden liegen. Während der Betriebsweise mit geringer Vorspannungsleistung während der Abscheidung bei Raumtemperatur, kann eine geringe Vorspannungsleistung kleiner als ungefähr 100 Watt, wie beispielsweise in einem Bereich von ungefähr 70 Watt bis ungefähr 90 Watt, an die Substratträgersockel-Vorspannungsvorrichtung angelegt werden, um zu helfen, dass von dem Ziel abgelöste Materialien angezogen werden.
  • Nach der geringen Vorspannungsleistung während der Abscheidung bei Raumtemperatur bei der ersten Operationsstufe 106(a) kann die hohe Vorspannungsleistung P2, wie in 3B gezeigt, angelegt werden, um ein Abscheiden der Vorschicht 252 fortzusetzen. Die hohe Vorspannungsleistung, welche nach der geringen Vorspannungsleistung während der Abscheidung bei Raumtemperatur angelegt wird, stellt eine relativ größere Beschussleistung bereit, um ein Abscheiden der Vorschicht 252 fortsetzen sowie die Oberflächentopographie der Vorschicht 252 zu glätten, so dass die ungleichmäßige Oberflächenagglomeration, falls vorhanden, während des Abscheidens weggesputtert werden kann, um eine relativ gleichmäßige Oberfläche und Dicke über die Vorschicht 252 hinweg bereitzustellen, was auf der Seitenwand 226 und auf dem Boden 224 der Öffnung 212 umfasst, wie in 2E gezeigt Die hohe Vorspannungsleistung, welche während der Abscheidung bei Raumtemperatur bei der ersten Operationsstufe 106(a) angelegt wird, kann konfiguriert werden, eine Dicke der Vorschicht 252 in einem Bereich von ungefähr 10 Å bis ungefähr 100 Å, beispielsweise von ungefähr 65 Å bis ungefähr 75 Å, wie beispielsweise ungefähr 70 Å abzulagern (z. B. eine zweite Dicke, welche unter der Betriebsweise mit hoher Vorspannungsleistung ausgebildet wird). Während der Abscheidungsbetriebsweise mit hoher Vorspannungsleistung, obwohl die resultierende Vorschicht 252 als ungefähr 70 Å gemessen werden kann, ist anzumerken, dass die tatsächliche Dicke während der Abscheidungsbetriebsweise mit hoher Vorspannungsleistung größer als 70 Å sein kann, da ein Abschnitt der Vorschicht 252 beim Abscheiden der Vorschicht 252 weggesputtert werden kann. Es wird angenommen, dass während der Abscheidungsbetriebsweise mit hoher Vorspannungsleistung die Dicke, welche auf dem Substrat ausgebildet wird, in einem Bereich von ungefähr 450 Å bis ungefähr 550 Å, wie beispielsweise bei ungefähr 500 Å, liegen kann, aber gleichzeitig eine Dicke in einem Bereich von ungefähr 375 Å bis ungefähr 475 Å weggesputtert wird, wobei folglich die resultierende Vorschicht 252, welche während der Abscheidungsbetriebsweise mit hoher Vorspannungsleistung ausgebildet wird, in einem Bereich von ungefähr 65 Å bis ungefähr 75 Å, wie beispielsweise auf ungefähr 70 Å, gebracht wird.
  • Während der Betriebsweise mit hoher Vorspannungsleistung während der Abscheidung bei Raumtemperatur, kann eine hohe Vorspannungsleistung größer als ungefähr 200 Watt, wie beispielsweise in einem Bereich von ungefähr 350 Watt bis ungefähr 600 Watt, beispielsweise von ungefähr 450 Watt, an die Substratträgersockel-Vorspannungsvorrichtung angelegt werden, um zu helfen, dass von dem Ziel abgelöste Materialien angezogen werden.
  • Es ist anzumerken, dass der Substratträgersockel auf der gleichen Temperatur unterhalten wird, wie beispielsweise auf einer stetigen Temperatur bei Raumtemperatur geringer als 50 Grad Celsius, sowohl während der Betriebsweise mit geringer als auch während der mit hoher Vorspannungsleistung bei einer ersten Operationsstufe 106(a), um die Vorschicht 252 mit einer Dicke von ungefähr 200 Å bereitzustellen (z. B. von ungefähr 130 Å aus der Betriebsweise mit geringer Vorspannungsleistung und von ungefähr 70 Å aus der Betriebsweise mit hoher Vorspannungsleistung).
  • Während des Ausbildens der Vorschicht 252 bei der ersten Operationsstufe 106(a) kann ein Prozessgas, welches ein nicht reaktionsfähiges Gas umfasst, wie beispielsweise Argon oder Xenon, zugeführt werden, um es energetisch auf das Ziel auftreffen zu lassen und Material daraus zu sputtern. Bei manchen Beispielen kann das Prozessgas auch ein reaktionsfähiges Gas umfassen, wie beispielsweise eines oder mehrere eines Sauerstoff-haltigen Gases und eines Stickstoff-haltigen Gases, welche in der Lage sind, mit dem Sputtermaterial zu reagieren. Es kann eine HF-Leistungsquelle, eine Gleichstromquelle, eine gepulste Gleichstromquelle oder eine kombinierte Stromquelle unter Verwendung von HF-Leistung und/oder Gleichstromleistung oder gepulster Gleichstromleistung implementiert werden, um dem Ziel Energie zuzuführen, um Materialien aus dem Ziel abzulösen. Das Sputtermaterial aus dem Ziel, welches Metallelemente, wie beispielsweise Kupfer, wie hier beschrieben, scheidet sich auf dem Substrat 202 ab und bildet eine feste Metallschicht aus, wie beispielsweise die Vorschicht 252 des leitfähigen Füllmaterials 253. Bei einem Beispiel kann das nicht reaktionsfähige Gas bei einer volumetrischen Durchflussrate in einem Bereich von ungefähr 1 sccm bis ungefähr 50 sccm, wie beispielsweise von ungefähr 1 sccm bis ungefähr 20 sccm, während einer Abscheidung zugeführt werden, während eine Leistung einer HF-Leistungsquelle in einem Bereich von ungefähr 20 Watt bis ungefähr 80 Watt während einer Abscheidung dem Ziel zugeführt werden kann. Es ist anzumerken, dass die hier erörterten Prozessparameter auf einem Substrat von 300 mm basieren. Es wird in Betracht gezogen, dass diese Prozessparameter in Abhängigkeit von der Dicke der Schichten, welche ausgebildet werden sollen, der Größe der Öffnungen, der Größe des Substrats, der Leistung des Plasmareaktors und/oder der Anwendung variieren können.
  • Nachfolgend, nachdem die Vorschicht 252 die erwünschte Dicke erreicht hat, wird bei der zweiten Operationsstufe 106(b) dem Substrat 202 thermische Energie bereitgestellt. Die thermische Energie kann dem Substrat 202 durch Einschalten der Heizvorrichtung bereitgestellt werden, welche in dem Substratträgersockel eingebettet ist, um dem Substrat 202 so thermische Energie bereitzustellen. Folglich ist eine Temperaturregelung der Heizvorrichtung in dem Substratträgersockel bei der zweiten Operationsstufe 106(b) höher als die Temperaturregelung bei der ersten Operationsstufe 106(a), wie beispielsweise höher als Raumtemperatur (z. B. höher als 50 Grad Celsius). Während der zweiten Operationsstufe 106(b) können andere Prozessparameter, welche während der ersten Operationsstufe 106(a) geregelt werden, terminiert werden, wobei ermöglicht wird, dass dem Substrat 202 thermische Energie bereitgestellt wird. Die thermische Energie, welche dem Substrat 202 bereitgestellt wird, erweicht die Metallelemente aus der Vorschicht 252 und/oder schmilzt diese leicht, wobei folglich ermöglicht wird, dass die Vorschicht 252 in der Öffnung 212 verfließt, wie in 2F gezeigt. Die thermische Energie, welche dem Substrat 202 bereitgestellt wird, fördert einen Verfließprozess der Vorschicht, um so die Gleichmäßigkeit der Dicke über die Vorschicht 252 zu fördern und zu erhöhen. Die Metallelemente aus der Vorschicht 252, welche geschmolzen und/oder verflossen wurden, ermöglichen, dass das Profil der Vorschicht 252 weiter geändert wird, wobei ermöglicht wird, dass eine größere Menge der Metallelemente auf den Boden 224 der Öffnung 212 herunter fließt. Im Ergebnis wird die Dicke 230 der Vorschicht 252 an der Bodenabschnitt 218 erhöht, da die Gravitation die leicht geschmolzene Vorschicht 252 anzieht, welche abwärts auf den Boden 224 der Öffnung 212 verfließt, während die Dicke 227 an dem Eckabschnitt 218 meistens vermindert wird, wie in 2F gezeigt.
  • Bei einem Beispiel kann die Dicke 230 an dem Bodenabschnitt 218 in einem Bereich von ungefähr 20 Prozent bis ungefähr 50 Prozent im Vergleich zu der Dicke 221 an dem Bodenabschnitt 218 vor dem Verfließprozess in 2E erhöht werden. Bei einem Beispiel liegt die Dicke 230 an dem Bodenabschnitt 218 während des Verfließprozesses bei der zweiten Operationsstufe 106(b) in einem Bereich von ungefähr 96 Å bis ungefähr 300 Å.
  • Bei einer Ausführungsform kann dem Substrat 202 die thermische Energie durch Regeln der Substrattemperatur T2, wie in 3A gezeigt, auf einen höheren Wert als die Substrattemperatur T1 geliefert werden. Die Substrattemperatur T2 wird durch Regeln der Heizvorrichtung des Substratträgersockels geregelt, wo das Substrat 202 angeordnet ist, wie beispielsweise in einem Bereich von ungefähr 90 Grad Celsius bis ungefähr 400 Grad Celsius. Bei dem Beispiel, bei welchem ein Verfließprozess bei relativ milder Temperatur durchgeführt wird, wird die Heizvorrichtung des Substratträgersockels so geregelt, dass sie in einem Bereich von ungefähr 90 Grad Celsius bis ungefähr 200 Grad Celsius liegt. Die Temperatur T2 auf dieser Stufe kann allmählich hochgefahren werden, wie in 3A gezeigt, um die thermische Energie, welche dem Substrat 202 geliefert wird, stetig zu erhöhen. Im Gegensatz dazu wird bei einem Beispiel, bei welchem ein Verfließprozess bei relativ hoher Temperatur durchgeführt wird, die Heizvorrichtung des Substratträgersockels so geregelt, dass sie in einem Bereich von ungefähr 200 Grad Celsius bis ungefähr 400 Grad Celsius liegt. Es wird angenommen, dass die verminderte Dicke 227 an dem Eckabschnitt 218 der Öffnung 212 die Überhangstrukturen reduziert und die Abmessungen an dem oberen Abschnitt der Öffnung 212 vergrößert, wobei folglich ermöglicht wird, dass die Materialien, welche sich nachfolgend von dem Ziel abgelöst haben, erfolgreich abgeschieden werden und in die Öffnung 212 gefüllt werden.
  • Bei einer Ausführungsform kann der Verfließprozess bei der zweiten Operationsstufe 106(b) in der gleichen Verarbeitungskammer oder in einer verschiedenen Verarbeitungskammer durchgeführt werden als der, wo die Vorschicht 252 bei der ersten Operationsstufe 106(a) ausgebildet wird. Bei einem hier beschriebenen spezifischen Beispiel wird der Verfließprozess bei der zweiten Operationsstufe 106(b) aufgrund verschiedener Temperatureinstellungen an dem Substratträgersockel in einer verschiedenen Verarbeitungskammer als der durchgeführt, wo die Vorschicht 252 bei der ersten Operationsstufe 106(a) ausgebildet wird. Bei einem Beispiel kann der Verfließprozess bei der zweiten Operationsstufe 106(b) in allen geeigneten Heizvorrichtungen durchgeführt werden, wie beispielsweise einer Heizung, einer Backplatte, einer thermischen Platte oder dergleichen. Bei einem anderen Beispiel kann der Verfließprozess bei der zweiten Operationsstufe 106(b) in einer anderen Verarbeitungskammer durchgeführt werden, wie beispielsweise in einer anderen physikalischen Dampfabscheidungs-(PVD)-Verarbeitungskammer, um so ein Durchführen des Prozesses des nächsten Schritts bei der dritten Operationsstufe 106(c) in der gleichen Verarbeitungskammer zu erleichtern.
  • Bei einem Beispiel kann der Verfließprozess bei der zweiten Operationsstufe 106(b) in einem Bereich von ungefähr 20 Sekunden bis ungefähr 60 Sekunden, wie beispielsweise ungefähr 40 Sekunden, durchgeführt werden. Während des Verfließprozesses kann die Temperatur bei der zweiten Operationsstufe 106(b) in einer linearen Weise und/oder schrittweise von T1 bis T2 hochgefahren werden. Beispielsweise kann die Temperatur T1 in der Zeitspanne zwischen ungefähr 20 Sekunden bis ungefähr 60 Sekunden linear auf die Temperatur T2 hochgefahren werden. Ersatzweise kann die Temperatur T1 durch eine schrittweise Regelung auf die Temperatur T2 hochgefahren werden, wie beispielsweise diskretes Erhöhen um 5 Grad Celsius bis 8 Grad Celsius in jeder Sekunde. Bei einem bestimmten Beispiel wird bei der zweiten Operationsstufe 106(b) die Temperatur T1 linear auf die Temperatur T2 hochgefahren.
  • Bei der dritten Operationsstufe 106(c) wird die Abscheidung des physikalischen Abscheidungsprozesses ähnlich dem Abscheidungsprozess der Vorschicht bei der ersten Operationsstufe 106(a) durchgeführt, wie in 2G gezeigt, um eine Volumenschicht 250 auszubilden, bis ein leitfähiges Füllmaterial 253 in die Öffnung 212 gefüllt und darin ausgebildet wird, wie in 2H gezeigt. Die Prozessparameter bei der dritten Operationsstufe 106(c) sind im Wesentlichen ähnlich den Prozessparametern bei der ersten Operationsstufe 106(a), außer der Regelung der thermischen Energie, wie beispielsweise der Substrattemperatur T3, wie in 3A gezeigt, z. B. der Temperaturregelung der Heizvorrichtung in dem Substratträgersockel und der Vorspannungsleistung P3, wie in 3B gezeigt, welche dem Substrat 202 bereitgestellt werden.
  • Die Temperaturregelung an dem Substrat 202 bei der dritten Operationsstufe 106(c) kann ähnlich, höher oder geringer sein als die Temperaturregelung bei der zweiten Operationsstufe 106(b), aber höher als die Temperaturregelung bei der ersten Operationsstufe 106(a). Mit anderen Worten, die Substrattemperaturregelung bei der dritten Operationsstufe 106(c) ist höher als Raumtemperatur, wie beispielsweise höher als 50 Grad Celsius. Bei einem Beispiel ist die Temperaturregelung der Heizvorrichtung in dem Substratträgersockel bei der dritten Operationsstufe 106(c) in einem Bereich von ungefähr 90 Grad Celsius bis ungefähr 200 Grad Celsius, während die Temperaturregelung bei der zweiten Operationsstufe 106(b) auch in einem Bereich von ungefähr 90 Grad Celsius bis ungefähr 400 Grad Celsius ist und bei der ersten Operationsstufe 106(a) niedriger als 50 Grad Celsius ist, wie beispielsweise Raumtemperatur. Folglich ist die Temperaturregelung bei der zweiten und bei der dritten Operationsstufe 106(b), 106(c) so konfiguriert, dass sie höher ist als die Temperaturregelung bei der ersten Operationsstufe 106(a). Es wird angenommen, dass die Substrattemperatur eine positive Korrelation (z. B. proportional) zu der Temperatureinstellung an der Heizvorrichtung in dem Substratträgersockel aufweist, wo das Substrat angeordnet ist.
  • Bei einem spezifischen Beispiel ist die Temperaturregelung an der Heizvorrichtung in dem Substratträgersockel (i) bei der dritten Operationsstufe 106(c) in einem Bereich von ungefähr 90 Grad Celsius bis ungefähr 200 Grad Celsius, (ii) bei der zweiten Operationsstufe 106(b) in einem Bereich zwischen ungefähr 200 Grad Celsius und ungefähr 400 Grad Celsius und (iii) bei der ersten Operationsstufe 106(a) niedriger als 50 Grad Celsius, wie beispielsweise bei Raumtemperatur, wie in 3A gezeigt.
  • Während einer Wiederaufnahme des Abscheidungsprozesses, um ein Wachsen der Vorschicht 252 zu der Volumenschicht 250 fortzusetzen, wie in 2G gezeigt, ermöglicht die hohe Temperatur des Substrats 202 folglich, dass beim kontinuierlichen Schmelzen und/oder Verfließen der Vorschicht 252, welche auf dem Substrat 202 ausgebildet ist, das Material, welches sich von dem Ziel abgelöst hat, in der Öffnung 212 ausgebildet wird. Unter Verwendung einer Temperaturregelung (z. B. der Menge der bereitgestellten thermischen Energie) an dem Substrat 202 können ein Abscheidungsprozess sowie ein Verfließprozess erhalten werden, um zu ermöglichen, dass die Volumenschicht 250 in die Öffnung 212 gefüllt wird, wie in 2H gezeigt, um das leitfähige Füllmaterial 253 ohne unerwünschte Leerräume oder Säume auszubilden. Bei einem Beispiel wird die Dicke 235 an dem Bodenabschnitt 230 mit einer Geschwindigkeit erhöht, welche größer ist als die der Dicke 254 an dem Eckabschnitt 218 (z. B. einschließlich der Dicke, welche von den Seitenwand- und Eckabschnitten 231, 218 verfließt), wobei folglich relativ gesehen ein Bodenfüll-Abscheidungsprozess bereitgestellt wird, um die Öffnung 212 mit der Volumenschicht 250 ohne unerwünschten frühen Verschluss oder Leerräume/Säume, welche in der Öffnung 212 ausgebildet sind, zu füllen.
  • Es wird angenommen, dass die hohe Temperaturregelung des Substrats (oder als die hohe Temperatureinstellung an der Heizvorrichtung des Substratträgersockels bezeichnet) dem Substrat thermische Energie bereitstellen kann, was den Verfließprozess ermöglichen kann, während ein Abscheiden der Metallelemente fortgesetzt wird. Durch Zuführen thermischer Energie an das Substrat bei relativ gesehen späteren Stufen (bei der zweiten und der dritten Operationsstufe 106(b), 106(c) statt der ersten Operationsstufe 106(a) am Anfang des Abscheidungsprozesses) kann die frühe Agglomeration der Metallelemente, welche bei dem herkömmlichen Prozess oft erhalten wird, beseitigt werden, wobei folglich ein gleichmäßiges und konformes Abscheidungsprofil erreicht wird, um einen erfolgreichen Abscheidungsprozess des leitfähigen Füllmaterials 253 in der Öffnung 212 zu ermöglichen.
  • Anders als die Betriebsweise mit geringer HF-Vorspannungsleistung P1 und der Betriebsweise mit hoher HF-Vorspannungsleistung P2, welche bei der ersten Operationsstufe 106(a) geregelt werden, wie in 3B gezeigt, wird die Vorspannungsleistung P3, welche bei der dritten Operationsstufe 106(c) geregelt wird, zusätzlich bei einer Betriebsweise mit mittlerer Vorspannungsleistung geregelt, wie beispielsweise in einem Bereich von ungefähr 150 Watt bis ungefähr 300 Watt, wie beispielsweise von ungefähr 200 Watt bis ungefähr 250 Watt, wie in 3B gezeigt. Beispielsweise ist in 3B die Vorspannungsleistung P2 größer als die Vorspannungsleistung P3, und die Vorspannungsleistung P3 ist größer als die Vorspannungsleistung P1. Es wird angenommen, dass eine Betriebsweise mit mittlerer Vorspannungsleistung helfen kann, dass sich die Metallelemente in den Öffnungen mit einem guten Gleichgewicht einer Abscheide-Sputter-Abscheide-Wirkung abscheiden, um so Metallelemente in der Öffnung 212 abzuscheiden sowie das Verfließen der Metallelemente zu ermöglichen, ohne übermäßig viel aus dem Substrat 201 wegzusputtem.
  • Beim Ausbilden der Volumenschicht 250 bei der dritten Operationsstufe 106(c) sind außer den Prozessparametern der Temperaturregelung und der Steuerung der Vorspannungsleistung an den Substratträgersockel andere Prozessparameter, welche bei der dritten Operationsstufe 106(c) geregelt werden, im Wesentlichen denen der ersten Operationsstufe 106(a) ähnlich. Beispielsweise kann das Prozessgas, welches ein nicht reaktionsfähiges Gas umfasst, wie beispielsweise Argon oder Xenon, aus der ersten Operationsstufe 106(a) wieder zugeführt werden, um bei der dritten Operationsstufe 106(c) energetisch auf das Material aufzutreffen und es aus dem Ziel zu sputtern. Die HF-Leistungsquelle, die Gleichstromquelle, die gepulste Gleichstromquelle oder die kombinierte Stromquelle unter Verwendung von HF-Leistung und/oder Gleichstromleistung oder gepulster Gleichstromleistung kann implementiert werden, um dem Ziel Energie zuzuführen, um Materialien aus dem Ziel abzulösen. Bei einem Beispiel kann das nicht reaktionsfähige Gas bei einer volumetrischen Durchflussrate in einem Bereich von ungefähr 1 sccm bis ungefähr 50 sccm, wie beispielsweise zwischen ungefähr 1 sccm und ungefähr 20 sccm, während einer Abscheidung zugeführt werden, während die Leistung der HF-Leistungsquelle in einem Bereich von ungefähr 20 Watt bis ungefähr 800 Watt während einer Abscheidung dem Ziel zugeführt werden kann. Es ist anzumerken, dass die hier erörterten Prozessparameter auf einem Substrat von 300 mm basieren. Es wird in Betracht gezogen, dass diese Prozessparameter in Abhängigkeit von der Dicke der Schichten, welche ausgebildet werden sollen, der Größe der Öffnungen, der Größe des Substrats, der Leistung des Plasmareaktors und/oder der Anwendung variieren können.
  • Der Abscheidungs- und der Verfließprozess bei der dritten Operationsstufe 106(c) können kontinuierlich durchgeführt werden, beispielsweise bis eine Dicke 259 des leitfähigen Füllmaterials 253 von der Oberfläche 228 der Mantelschicht 216 einen Bereich von ungefähr 500 Å bis 700 Å erreicht hat, wie beispielsweise mindestens ungefähr 600 Å. Die Prozesszeit bei der dritten Operationsstufe 106(c) kann in einem Bereich von ungefähr 30 Sekunden bis ungefähr 300 Sekunden liegen, wie beispielsweise von ungefähr 50 Sekunden bis ungefähr 100 Sekunden.
  • Bei Operation 108, nachdem das leitfähige Füllmaterial 253 ausgebildet und in die Öffnung 212 gefüllt wurde, kann ein chemisch-mechanischer Polieren (CMP) alles überschüssige leitfähige Füllmaterial 253, die Mantelschicht 216, die Sperrschicht 214 und alle verbliebene Maske, wie beispielsweise des ARC 210, falls vorhanden, von dem Substrat entfernen, um eine obere Oberfläche 261 des leitfähigen Füllmaterials 253 zu erhalten, welche im Wesentlichen planparallel zu einer oberen Oberfläche 263 der zweiten dielektrischen Schicht 208 ist, wie in 2I gezeigt.
  • 4 stellt ein anderes Beispiel eines unterschiedlichen Orts in der Halbleitervorrichtung dar, wo das leitfähige Füllmaterial 253, welches von dem Prozess der 1 ausgebildet wird, eingesetzt werden kann. Bei dem in 4 dargestellten Beispiel kann das leitfähige Füllmaterial 253 eingesetzt werden, um sich mit den unterliegenden leitfähigen Merkmalen 203 zu verbinden, wobei die unterliegenden leitfähigen Merkmale 203 als Kontaktpfropfen in einer Kontaktstruktur eingesetzt werden. Die erste dielektrische Schicht 204 wird als ein Zwischenschichtdielektrikum (ILD) mit den darin ausgebildeten Kontaktpfropfen (z. B. den leitfähigen Merkmalen 203) eingesetzt.
  • Das Substrat 202 umfasst Rippenstrukturen 446, welche auf dem oberen Abschnitt des Substrats 202 ausgebildet sind. In der Rippenstruktur 446 sind Source-/Drain-Epitaxiebereiche 456 ausgebildet Auf der Rippenstruktur 446 sind Gate-Strukturen ausgebildet. Jede Gate-Struktur umfasst ein Grenzflächendielektrikum 470, eine Gate-Dielektrikumsschicht 472, eine oder mehrere optionale konforme Schichten 474 und Gate-Elektroden 476. Entlang der Seitenwände der Gate-Strukturen sind Gate-Abstandshalter 454 ausgebildet. Das Grenzflächendielektrikum 470 befindet sich entlang der Oberflächen der Rippenstruktur 46 zwischen jeweiligen Gate-Abstandshaltern 454. Die Gate-Dielektrikumsschicht 472 befindet sich konform auf dem Grenzflächendielektrikum 470 und entlang der Seitenwände jeweiliger Gate-Abstandshalter 454 und dazwischen. Die eine oder mehreren optionalen konformen Schichten 474 befinden sich konform auf der Gate-Dielektrikumsschicht und können eine oder mehrere Sperr- und/oder Deckschichten und eine oder mehrere Austrittsarbeit-Abstimmschichten umfassen. Die Gate-Elektroden 76 befinden sich auf der einen oder den mehreren optionalen konformen Schichten 474.
  • Eine Kontakt-Ätzstoppschicht (CESL) 460 befindet sich konform auf Oberflächen der Source-/Drain-Epitaxiebereiche 456 und auf Seitenwänden der Gate-Abstandshalter 454. Ein erstes Zwischenschichtdielektrikum (ILD) 462 befindet sich über der CESL 460. Die erste dielektrische Schicht 204, wie beispielsweise die erste dielektrische Schicht 204, welche in 2A bis 2I dargestellt ist, ist über dem ersten ILD 462, der CESL 460, den Gate-Abstandshaltern 454 und den Gate-Strukturen ausgebildet. Leitfähige Merkmale 203, 490 sind ausgebildet, um sich mit der Gate-Struktur bzw. dem Source-/Drain-Epitaxiebereich 456 zu verbinden. Das leitfähige Merkmal 490 umfasst bei dem illustrierten Beispiel beispielsweise eine Haftschicht 494, eine Sperrschicht 496 auf der Haftschicht 494, einen Silizid-Bereich 498 auf dem Source-/Drain-Epitaxiebereich 456 und leitfähiges Füllmaterial 400 auf der Sperrschicht 496. Das leitfähige Merkmal 203 umfasst bei dem illustrierten Beispiel beispielsweise eine Haftschicht 494, eine Sperrschicht 496 auf der Haftschicht 494 und leitfähiges Füllmaterial 400 auf der Sperrschicht 496.
  • Die Ätzstoppschicht 206 befindet sich auf der ersten dielektrische Schicht 204 und den leitfähigen Merkmalen 490, 400. Die zweite dielektrische Schicht 208 befindet sich auf der Ätzstoppschicht 206. Leitfähige Merkmale (einschließlich der Sperrschicht 214, der Mantelschicht 216 auf der Sperrschicht 214 und dem leitfähigen Füllmaterial 253 auf der Mantelschicht 216) sind durch die zweite dielektrische Schicht 208 und die Ätzstoppschicht 206 hindurch ausgebildet und elektrisch mit den leitfähigen Merkmalen 203, 490 verbunden.
  • Implementierungen der vorliegenden Offenbarung stellen Verfahren zum Ausbilden eines leitfähigen Füllmaterials (z. B. eines leitfahigen Merkmals) durch einen physikalischen Dampfabscheidungs-(PVD)-Prozess bereit. Das leitfähige Füllmaterial ist durch Zuführen verschiedener thermischer Energie an das Substrat bei den verschiedenen Herstellungsstufen des physikalischen Abscheidungsprozesses ausgebildet, um einen Abscheidungsprozess sowie einen Verfließprozess zum Ausbilden des leitfähigen Füllmaterials zu ermöglichen. Die Temperaturregelung an dem Substrat kann niedriger sein als 50 Grad Celsius (wie beispielsweise Raumtemperatur) bis zu einer hohen Temperatur (wie beispielsweise zwischen 90 Grad Celsius und 400 Grad Celsius) zusammen mit einer Regelung der Vorspannungsleistung, welche während des Abscheidungsprozesses zugeführt wird. Dadurch wird ein Ausbildungsprozess für leitfähiges Füllmaterial mit minimalen Leerräumen/Säumen in einer Öffnung mit hohem Seitenverhältnis mit einer hohen Lückenfüllleistung erhalten. Weiterhin kann durch Einsetzen der physikalischen Dampfabscheidung, um das leitfähige Füllmaterial auszubilden, eine Kupfer-Impfschicht, welche herkömmlicherweise vor einem elektrolytischen Kupfer-Abscheidungsprozess (z. B. einem Nassprozess) verwendet wird, beseitigt werden, wobei ein breiteres Prozessfenster zum Füllen der Sperrschicht, der Mantelschicht sowie des leitfähigen Füllmaterials in der dielektrischen Schicht bereitgestellt wird.
  • Bei einer Ausführungsform umfasst ein Verfahren zum Ausbilden eines leitfähigen Füllmaterials auf einem Substrat ein Unterhalten eines Substrats auf einer ersten Substrattemperatur in einem ersten Bereich für eine erste Zeitdauer beim Ausbilden einer Vorschicht eines leitfähigen Füllmaterials auf dem Substrat, ein Bereitstellen thermischer Energie für das Substrat, um das Substrat auf einer zweiten Substrattemperatur in einem zweiten Bereich für eine zweite Zeitdauer zu unterhalten, wobei die zweite Substrattemperatur höher ist als die erste Substrattemperatur, und ein kontinuierliches Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf einer dritten Substrattemperatur in einem dritten Bereich für eine dritte Zeitdauer beim Ausbilden einer Volumenschicht des leitfähigen Füllmaterials auf dem Substrat zu unterhalten. Bei einer Ausführungsform ist die dritte Substrattemperatur höher als die erste Substrattemperatur. Bei einer Ausführungsform ist die dritte Substrattemperatur niedriger als die zweite Substrattemperatur. Bei einer Ausführungsform wird beim Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der zweiten Substrattemperatur zu unterhalten, eine erste Vorspannungsleistung an einen Substratträgersockel angelegt, wo das Substrat angeordnet ist, und nachfolgend wird eine zweite Vorspannungsleistung an den Substratträgersockel angelegt, und die zweite Vorspannungsleistung ist größer als die erste Vorspannungsleistung. Bei einer Ausführungsform wird beim kontinuierlichen Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der dritten Substrattemperatur zu unterhalten, eine dritte Vorspannungsleistung an den Substratträgersockel angelegt, und die dritte Vorspannungsleistung ist größer als die erste Vorspannungsleistung aber kleiner als die zweite Vorspannungsleistung. Bei einer Ausführungsform wird beim Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der zweiten Substrattemperatur zu unterhalten, die Vorschicht beim Unterhalten des Substrats auf der zweiten Substrattemperatur auf dem Substrat verflossen. Bei einer Ausführungsform wird beim Verfließen der Vorschicht eine Gleichmäßigkeit der Dicke der Vorschicht erhöht. Bei einer Ausführungsform weist die Vorschicht eine Dicke in einem Bereich von 100 Å bis 250 Å auf, und das leitfähige Füllmaterial weist eine Dicke in einem Bereich von 500 Å bis 700 Å auf. Bei einer Ausführungsform wird beim Unterhalten der ersten Substrattemperatur in dem ersten Bereich die Substrattemperatur in einem Bereich von 5 Grad Celsius bis 50 Grad Celsius unterhalten. Bei einer Ausführungsform wird beim Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der zweiten Substrattemperatur zu unterhalten, eine Heizvorrichtung eines Substratträgersockels, auf welchem das Substrat angeordnet ist, auf eine Temperatur in einem Bereich von 200 Grad bis 400 Grad Celsius geregelt. Bei einer Ausführungsform wird beim kontinuierlichen Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der dritten Substrattemperatur zu unterhalten, eine Heizvorrichtung eines Substratträgersockels, auf welchem das Substrat angeordnet ist, auf eine Temperatur in einem Bereich von 90 Grad bis 200 Grad Celsius geregelt Bei einer Ausführungsform wird beim Unterhalten des Substrats auf der ersten Substrattemperatur in dem ersten Bereich ein Material aus einem Ziel in einer Verarbeitungskammer gesputtert, wobei das Substrat angeordnet ist, um die Vorschicht des leitfahigen Füllmaterials auf dem Substrat auszubilden. Bei einer Ausführungsform wird beim kontinuierlichen Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der dritten Substrattemperatur zu unterhalten, ein Material aus einem Ziel in einer Verarbeitungskammer gesputtert, wobei das Substrat angeordnet ist, um die Volumenschicht des leitfähigen Füllmaterials auf dem Substrat auszubilden.
  • Bei einer anderen Ausführungsform umfasst ein Verfahren zum Ausbilden eines leitfahigen Füllmaterials auf einem Substrat ein Ausbilden einer Vorschicht einer leitfähigen Metallfüllschicht in einer dielektrischen Schicht durch Regeln des Substrats auf eine erste Substrattemperatur, Verfließen der Vorschicht durch Unterhalten des Substrats auf einer zweiten Substrattemperatur, wobei die zweite Substrattemperatur höher ist als die erste Substrattemperatur, und Ausbilden einer Volumenschicht auf der Vorschicht durch Unterhalten des Substrats auf einer dritten Substrattemperatur, wobei die dritte Substrattemperatur höher ist als die erste Substrattemperatur. Bei einer Ausführungsform wird beim Ausbilden der Vorschicht ein Material aus einem Ziel in einer Verarbeitungskammer gesputtert, wo das Substrat beim Unterhalten des Substrats auf der ersten Substrattemperatur angeordnet ist. Bei einer Ausführungsform wird eine erste Vorspannungsleistung an einen Substratträgersockel angelegt, welcher in der Verarbeitungskammer angeordnet ist, wo das Substrat angeordnet ist, um die Vorschicht auszubilden, und eine zweite Vorspannungsleistung wird an den Substratträgersockel angelegt, um ein Ausbilden der Vorschicht fortzusetzen, wobei die zweite Vorspannungsleistung größer ist als die erste Vorspannungsleistung. Bei einer Ausführungsform wird beim Ausbilden der Volumenschicht eine dritte Vorspannungsleistung an den Substratträgersockel angelegt, um die Volumenschicht auszubilden, und die dritte Vorspannungsleistung ist größer als die erste Vorspannungsleistung, aber kleiner als die zweite Vorspannungsleistung. Bei einer Ausführungsform wird beim Anlegen der dritten Vorspannungsleistung ein Material aus dem Ziel in der Verarbeitungskammer gesputtert, wo das Substrat beim Unterhalten des Substrats auf der dritten Substrattemperatur angeordnet ist.
  • Bei wieder einer anderen Ausführungsform umfasst eine Verbindungsstruktur eine Sperrschicht, welche ein erstes Metall enthaltendes Material in einem dielektrischen Material umfasst, eine Mantelschicht, welche ein zweites Metall enthaltendes Material auf der Sperrschicht in dem dielektrischen Material umfasst, und ein Metallfüllmaterial, welches ein drittes Metall enthaltendes Material auf der Mantelschicht in dem dielektrischen Material umfasst, wobei eine obere Oberfläche des Metallfüllmaterials im Wesentlichen planparallel zu einer oberen Oberfläche des dielektrischen Materials ist, wobei das dritte Metall enthaltende Material verschieden ist von mindestens einem des ersten und des zweiten Metall enthaltenden Materials. Bei einer Ausführungsform umfasst das erste Metall enthaltende Material eine Titan enthaltende Schicht oder ein Tantal enthaltendes Material und umfasst das zweite Metall enthaltende Material ein Ruthenium- oder Kobalt-Material und umfasst das dritte Metall enthaltende Material ein Kupfer-Material.
  • Die oben stehende Beschreibung skizziert Merkmale von mehreren Ausführungsformen, so dass Durchschnittsfachleute die Gesichtspunkte der vorliegenden Offenbarung besser verstehen können. Durchschnittsfachleute sollten erkennen, dass sie die vorliegende Offenbarung als eine Basis zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen der gleichen Zwecke und/oder zum Erzielen der gleichen Vorteile der hier eingeführten Ausführungsformen unmittelbar verwenden können. Durchschnittsfachleute sollten auch realisieren, dass derartige äquivalente Konstruktionen den Gedanken und Schutzumfang der vorliegenden Offenbarung nicht verlassen und dass sie hier verschiedene Veränderungen, Substitutionen und Abänderungen anfertigen können, ohne den Gedanken und Schutzumfang der vorliegenden Offenbarung zu verlassen.

Claims (20)

  1. Verfahren zum Ausbilden eines leitfähigen Füllmaterials auf einem Substrat, Folgendes umfassend: Unterhalten eines Substrats auf einer ersten Substrattemperatur in einem ersten Bereich für eine erste Zeitdauer während eines Ausbildens einer Vorschicht eines leitfähigen Füllmaterials auf dem Substrat; Bereitstellen thermischer Energie für das Substrat, um das Substrat auf einer zweiten Substrattemperatur in einem zweiten Bereich für eine zweite Zeitdauer zu unterhalten, wobei die zweite Substrattemperatur höher ist als die erste Substrattemperatur; und kontinuierliches Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf einer dritten Substrattemperatur in einem dritten Bereich für eine dritte Zeitdauer während eines Ausbildens einer Volumenschicht des leitfähigen Füllmaterials auf dem Substrat zu unterhalten.
  2. Verfahren nach Anspruch 1, wobei die dritte Substrattemperatur höher ist als eine erste Substrattemperatur.
  3. Verfahren nach Anspruch 1 oder 2, wobei die dritte Substrattemperatur niedriger ist als die zweite Substrattemperatur.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der zweiten Substrattemperatur zu unterhalten, weiterhin Folgendes umfasst: Anlegen einer ersten Vorspannungsleistung an einen Substratträgersockel, wo das Substrat angeordnet ist; und nachfolgendes Anlegen einer zweiten Vorspannungsleistung an den Substratträgersockel, wobei die zweite Vorspannungsleistung größer ist als die erste Vorspannungsleistung.
  5. Verfahren nach Anspruch 4, wobei kontinuierliches Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der dritten Substrattemperatur zu unterhalten, weiterhin Folgendes umfasst: Anlegen einer dritten Vorspannungsleistung an den Substratträgersockel, wobei die dritte Vorspannungsleistung größer ist als die erste Vorspannungsleistung aber kleiner ist als die zweite Vorspannungsleistung.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der zweiten Substrattemperatur zu unterhalten, weiterhin Folgendes umfasst: Verfließen der Vorschicht, welche auf dem Substrat ausgebildet wurde, beim Unterhalten des Substrats auf der zweiten Substrattemperatur.
  7. Verfahren nach Anspruch 6, wobei Verfließen der Vorschicht weiterhin Folgendes umfasst: Erhöhen einer Gleichmäßigkeit der Dicke der Vorschicht
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Vorschicht eine Dicke in einem Bereich von 100 Å bis 250 Å aufweist und das leitfähige Füllmaterial eine Dicke in einem Bereich von 500 Å bis 700 Å aufweist
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei Unterhalten der ersten Substrattemperatur in dem ersten Bereich weiterhin Folgendes umfasst: Unterhalten der Substrattemperatur in einem Bereich von 5 Grad Celsius bis 50 Grad Celsius.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der zweiten Substrattemperatur zu unterhalten, weiterhin Folgendes umfasst: Regeln einer Heizvorrichtung eines Substratträgersockels, auf welchem das Substrat angeordnet ist, auf eine Temperatur in einem Bereich von 200 Grad bis 400 Grad Celsius.
  11. Verfahren nach einem der vorhergehenden Ansprüche, wobei kontinuierliches Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der dritten Substrattemperatur zu unterhalten, weiterhin Folgendes umfasst: Regeln einer Heizvorrichtung eines Substratträgersockels, auf welchem das Substrat angeordnet ist, auf eine Temperatur in einem Bereich von 90 Grad bis 200 Grad Celsius.
  12. Verfahren nach einem der vorhergehenden Ansprüche, wobei Unterhalten des Substrats auf der ersten Substrattemperatur in dem ersten Bereich weiterhin Folgendes umfasst: Sputtern eines Materials aus einem Ziel in einer Verarbeitungskammer, wobei das Substrat angeordnet ist, um die Vorschicht des leitfähigen Füllmaterials auf dem Substrat auszubilden.
  13. Verfahren nach einem der vorhergehenden Ansprüche, wobei kontinuierliches Bereitstellen der thermischen Energie für das Substrat, um das Substrat auf der dritten Substrattemperatur zu unterhalten, weiterhin Folgendes umfasst: Sputtern eines Materials aus einem Ziel in einer Verarbeitungskammer, wobei das Substrat angeordnet ist, um die Volumenschicht des leitfähigen Füllmaterials auf dem Substrat auszubilden.
  14. Verfahren zum Ausbilden eines leitfähigen Füllmaterials auf einem Substrat, Folgendes umfassend: Ausbilden einer Vorschicht einer leitfähigen Metallfüllschicht in einer dielektrischen Schicht durch Regeln des Substrats auf eine erste Substrattemperatur; Verfließen der Vorschicht durch Unterhalten des Substrats auf einer zweiten Substrattemperatur, wobei die zweite Substrattemperatur höher ist als die erste Substrattemperatur; und Ausbilden einer Volumenschicht auf der Vorschicht durch Unterhalten des Substrats auf einer dritten Substrattemperatur, wobei die dritte Substrattemperatur höher ist als die erste Substrattemperatur.
  15. Verfahren nach Anspruch 14, wobei Ausbilden der Vorschicht weiterhin Folgendes umfasst: Sputtern eines Materials aus einem Ziel in einer Verarbeitungskammer, wo das Substrat beim Unterhalten des Substrats auf der ersten Substrattemperatur angeordnet ist.
  16. Verfahren nach Anspruch 15, weiterhin Folgendes umfassend: Anlegen einer ersten Vorspannungsleistung an einen Substratträgersockel, welcher in der Verarbeitungskammer angeordnet ist, wo das Substrat angeordnet ist, um die Vorschicht auszubilden; und Anlegen einer zweiten Vorspannungsleistung an den Substratträgersockel, um ein Ausbilden der Vorschicht fortzusetzen, wobei die zweite Vorspannungsleistung größer ist als die erste Vorspannungsleistung.
  17. Verfahren nach Anspruch 16, wobei Ausbilden der Volumenschicht weiterhin Folgendes umfasst: Anlegen einer dritten Vorspannungsleistung an den Substratträgersockel, um die Volumenschicht auszubilden, wobei die dritte Vorspannungsleistung größer ist als die erste Vorspannungsleistung aber kleiner ist als die zweite Vorspannungsleistung.
  18. Verfahren nach Anspruch 17, wobei Anlegen der dritten Vorspannungsleistung weiterhin Folgendes umfasst: Sputtern des Materials aus dem Ziel in der Verarbeitungskammer, wo das Substrat beim Unterhalten des Substrats auf der dritten Substrattemperatur angeordnet ist.
  19. Verbindungsstruktur, Folgendes umfassend: eine Sperrschicht, welche ein erstes Metall enthaltendes Material in einem dielektrischen Material umfasst; eine Mantelschicht, welche ein zweites Metall enthaltendes Material auf der Sperrschicht in dem dielektrischen Material umfasst; und ein Metallfüllmaterial, welches ein drittes Metall enthaltendes Material auf der Mantelschicht in dem dielektrischen Material umfasst, wobei eine obere Oberfläche des Metallfüllmaterials im Wesentlichen planparallel zu einer oberen Oberfläche des dielektrischen Materials ist, wobei das dritte Metall enthaltende Material verschieden ist von mindestens einem des ersten und des zweiten Metall enthaltenden Materials.
  20. Struktur nach Anspruch 19, wobei das erste Metall enthaltende Material eine Titan enthaltende Schicht oder ein Tantal enthaltendes Material umfasst und das zweite Metall enthaltende Material ein Ruthenium- oder Kobalt-Material umfasst und das dritte Metall enthaltende Material ein Kupfer-Material umfasst.
DE102018107374.7A 2017-11-28 2018-03-28 Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen Active DE102018107374B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762591257P 2017-11-28 2017-11-28
US62/591,257 2017-11-28
US15/880,324 US10438846B2 (en) 2017-11-28 2018-01-25 Physical vapor deposition process for semiconductor interconnection structures
US15/880,324 2018-01-25

Publications (2)

Publication Number Publication Date
DE102018107374A1 true DE102018107374A1 (de) 2019-05-29
DE102018107374B4 DE102018107374B4 (de) 2023-02-16

Family

ID=66632614

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018107374.7A Active DE102018107374B4 (de) 2017-11-28 2018-03-28 Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen

Country Status (5)

Country Link
US (3) US10438846B2 (de)
KR (3) KR102094950B1 (de)
CN (1) CN109994423B (de)
DE (1) DE102018107374B4 (de)
TW (1) TWI706531B (de)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
JP7278164B2 (ja) * 2019-07-11 2023-05-19 東京エレクトロン株式会社 ルテニウム膜の形成方法及び基板処理システム
US11222816B2 (en) * 2020-06-16 2022-01-11 Applied Materials, Inc. Methods and apparatus for semi-dynamic bottom up reflow
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
CN112259499A (zh) * 2020-10-20 2021-01-22 长江存储科技有限责任公司 半导体器件及其制作方法
US11652044B2 (en) 2021-02-26 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of making
US11776901B2 (en) 2021-03-10 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Via landing on first and second barrier layers to reduce cleaning time of conductive structure
US11742290B2 (en) 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof
US11894437B2 (en) * 2021-05-14 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid conductive structures
CN115547925B (zh) * 2022-12-02 2023-04-11 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构
CN115547926B (zh) * 2022-12-02 2023-02-14 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US20130237053A1 (en) * 2010-09-28 2013-09-12 Tokyo Electron Limited Film forming method and film forming apparatus
KR20170106461A (ko) * 2015-02-26 2017-09-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법 및 반도체 장치의 제조 방법

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
JP3513270B2 (ja) 1995-06-30 2004-03-31 キヤノン株式会社 インクジェット記録ヘッド及びインクジェット記録装置
JP3201321B2 (ja) * 1997-11-10 2001-08-20 日本電気株式会社 配線用アルミニウム膜の形成方法
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6184137B1 (en) * 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
US6224737B1 (en) 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper
US20020093101A1 (en) * 2000-06-22 2002-07-18 Subramoney Iyer Method of metallization using a nickel-vanadium layer
JP4351814B2 (ja) * 2000-07-21 2009-10-28 株式会社リコー カラー画像形成装置
US6638861B1 (en) 2001-11-08 2003-10-28 Advanced Micro Devices, Inc. Method of eliminating voids in W plugs
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
CA2509263C (en) * 2002-12-24 2011-09-20 Pirelli & C. S.P.A. Optical fibre having low splice loss and method for making it
US6899796B2 (en) 2003-01-10 2005-05-31 Applied Materials, Inc. Partially filling copper seed layer
US20040175926A1 (en) 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US20050062164A1 (en) 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US7704368B2 (en) 2005-01-25 2010-04-27 Taiwan Semiconductor Manufacturing Co. Ltd. Method and apparatus for electrochemical plating semiconductor wafers
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7423347B2 (en) 2006-01-19 2008-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ deposition for cu hillock suppression
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US20070232062A1 (en) * 2006-03-31 2007-10-04 Takeshi Nogami Damascene interconnection having porous low k layer followed by a nonporous low k layer
MY171542A (en) 2006-08-30 2019-10-17 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
US20090072406A1 (en) * 2007-09-18 2009-03-19 International Business Machines Corporation Interconnect structure with improved electromigration resistance and method of fabricating same
US8242600B2 (en) 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US8841211B2 (en) 2010-06-09 2014-09-23 Applied Materials, Inc. Methods for forming interconnect structures
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US9177917B2 (en) * 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
KR20130121042A (ko) * 2012-04-26 2013-11-05 어플라이드 머티어리얼스, 인코포레이티드 피쳐 필을 위한 반도체 리플로우 프로세싱
US9136206B2 (en) * 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US20140117550A1 (en) * 2012-10-29 2014-05-01 International Business Machines Corporation Semiconductor device including an insulating layer, and method of forming the semiconductor device
US9349636B2 (en) * 2013-09-26 2016-05-24 Intel Corporation Interconnect wires including relatively low resistivity cores
CN105518827B (zh) 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
CN104752330B (zh) * 2013-12-31 2020-04-28 北京北方华创微电子装备有限公司 硅通孔深孔填充工艺
US9147767B2 (en) * 2014-02-07 2015-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20150325477A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Super conformal metal plating from complexed electrolytes
WO2016019367A2 (en) 2014-08-01 2016-02-04 Hygenia, LLC Hand sanitizer station
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US20130237053A1 (en) * 2010-09-28 2013-09-12 Tokyo Electron Limited Film forming method and film forming apparatus
KR20170106461A (ko) * 2015-02-26 2017-09-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법 및 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
US20190164825A1 (en) 2019-05-30
TWI706531B (zh) 2020-10-01
DE102018107374B4 (de) 2023-02-16
KR20200034691A (ko) 2020-03-31
KR20210020963A (ko) 2021-02-24
TW201926618A (zh) 2019-07-01
US10522399B2 (en) 2019-12-31
US20190164827A1 (en) 2019-05-30
US10438846B2 (en) 2019-10-08
KR20190062119A (ko) 2019-06-05
KR102094950B1 (ko) 2020-04-24
US11018055B2 (en) 2021-05-25
KR102374898B1 (ko) 2022-03-17
CN109994423B (zh) 2021-12-14
CN109994423A (zh) 2019-07-09
US20200144112A1 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
DE102018107374B4 (de) Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE112006000465B4 (de) Halbleiterbauelemente mit Barriereschichten für leitende Strukturmerkmale sowie zugehörige Herstellungsverfahren
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102008063430A1 (de) Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102007046846A1 (de) Seitenwandschutzschicht
DE102012206461A1 (de) Planarisierungsschema für Silizium-Durchkontaktierungen
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102009006798A1 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102020122109A1 (de) Phasenänderungsspeicherbauelement und verfahren
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102013109523A1 (de) Speicherzelle, die eine widerstandsvariable schicht aufweist sowie verfahren zur herstellung dieser
DE102007009913B4 (de) Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102007035837A1 (de) Halbleiterbauelement mit einer Kornorientierungsschicht
DE102004003863A1 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE102007063271B4 (de) Verfahren zur Herstellung eines dielektrischen Zwischenschichtmaterials mit unterschiedlichen Abtragsraten während eines CMP-Prozesses
DE102007020252A1 (de) Technik zur Herstellung von Metallleitungen in einem Halbleiter durch Anpassen der Temperaturabhängigkeit des Leitungswiderstands
DE102009021488A1 (de) Verbessertes Elektromigrationsverhalten von Kupferleitungen in Metallisierungssystemen von Halbleiterbauelementen durch Legierung von Oberflächen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final