DE112010004307T5 - Silicium-auf Isolator-Hybridwafer mit Doppel-Box-Rückgate und Kanälen mit verbesserter Beweglichkeit - Google Patents

Silicium-auf Isolator-Hybridwafer mit Doppel-Box-Rückgate und Kanälen mit verbesserter Beweglichkeit Download PDF

Info

Publication number
DE112010004307T5
DE112010004307T5 DE112010004307T DE112010004307T DE112010004307T5 DE 112010004307 T5 DE112010004307 T5 DE 112010004307T5 DE 112010004307 T DE112010004307 T DE 112010004307T DE 112010004307 T DE112010004307 T DE 112010004307T DE 112010004307 T5 DE112010004307 T5 DE 112010004307T5
Authority
DE
Germany
Prior art keywords
layer
hybrid
silicon
semiconductor
backgate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112010004307T
Other languages
English (en)
Other versions
DE112010004307B4 (de
Inventor
Qiging Christine Ouyang
Jeng-Bang Yau
Robert Heath Dennard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112010004307T5 publication Critical patent/DE112010004307T5/de
Application granted granted Critical
Publication of DE112010004307B4 publication Critical patent/DE112010004307B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

Eine Halbleiterwaferstruktur für integrierte Schaltungseinheiten beinhaltet ein Vollsubstrat; eine auf dem Vollsubstrat ausgebildete untere Isolationsschicht; eine auf der unteren Isolationsschicht ausgebildete elektrisch leitende Rückgate-Schicht; eine auf der Rückgate-Schicht ausgebildete obere Isolationsschicht; und eine auf der oberen Isolationsschicht ausgebildete Halbleiter-auf-Isolator-Hybridschicht, wobei die Halbleiter-auf-Isolator-Hybridschicht einen ersten Abschnitt, der eine erste Kristallorientierung aufweist, und einen zweiten Abschnitt umfasst, der eine zweite Kristallorientierung aufweist.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung bezieht sich im Allgemeinen auf Techniken zur Herstellung von Halbleitereinheiten und insbesondere auf die Fertigung von extrem dünnen Silicium-auf-Isolator(extremely thin silicon-on-insulator, ETSOI)-Hybridwafern mit zwei vergrabenen Oxidschichten (buried oxide, BOX), Rückgate (double buried oxide back gate, DBBG) und Kanälen mit verbesserter Beweglichkeit.
  • Vollständig verarmte Transistoreinheiten sind für die Skalierung von Einheiten unerlässlich. Komplementäre Metalloxid(CMOS)-Halbleitertransistoren auf der Grundlage von extrem dünnen SOIs (extremely thin SOI, ETSOI) mit Rückgate-Steuerungen haben sich im Hinblick auf die Verringerung von Kurzkanaleffekten (short channel effects, SCE), die Verringerung der Variabilität von Schwellenspannungen (Vt) aufgrund von Schwankungen in der Körperdotierung und die Verwendung der Rückgate-Spannung zum Anpassen/Abstimmen des Schwellenwerts als vorteilhaft erwiesen. Der Ansteuerungsstrom solcher Rückgate-gesteuerter ETSOI-Einheiten ist jedoch aufgrund relativ geringerer Ladungsträgerbeweglichkeit in solchen dünnen Silicium(Si)-Bereichen begrenzt. Wenngleich einige Verspannungstechniken wie zum Beispiel die Anwendung von verspannten Kontaktflächenschichten (contact area, CA) angewendet werden können, um die Ladungsträgerbeweglichkeit zu verbessern, ist es aufgrund der extrem dünnen Si-Schicht dennoch schwierig, integriertes SiGe in den Source/Drain-Bereichen auszubilden.
  • ZUSAMMENFASSUNG
  • Bei einer beispielhaften Ausführungsform beinhaltet eine Halbleiterwaferstruktur für integrierte Schaltungseinheiten ein Vollsubstrat; eine auf dem Vollsubstrat ausgebildete untere Isolationsschicht; eine auf der unteren Isolationsschicht ausgebildete elektrisch leitende Rückgate-Schicht; eine auf der Rückgate-Schicht ausgebildete obere Isolationsschicht; und eine auf der oberen Isolationsschicht ausgebildete Halbleiter-auf-Isolator-Hybridschicht, wobei die Halbleiter-auf-Isolator-Hybridschicht einen ersten Abschnitt, der eine erste Kristallorientierung aufweist, und einen zweiten Abschnitt umfasst, der eine zweite Kristallorientierung aufweist.
  • Bei einer weiteren Ausführungsform beinhaltet ein Verfahren zum Ausbilden einer Halbleiterwaferstruktur für integrierte Schaltungseinheiten das Ausbilden eines ersten Substratabschnitts, wobei der erste Substratabschnitt des Weiteren ein Hybridvollsubstrat, das einen Abschnitt mit einer ersten Kristallorientierung und einen Abschnitt mit einer zweiten Kristallorientierung aufweist, eine auf dem Hybridvollsubstrat ausgebildete Opferschicht, eine auf der Opferschicht ausgebildete Hybridhalbleiterschicht, eine auf der Hybridhalbleiterschicht ausgebildete erste Isolationsschicht, eine über der ersten Isolationsschicht ausgebildete elektrisch leitende Schicht und eine auf der elektrisch leitenden Schicht ausgebildete zweite Isolationsschicht umfasst, die dazu geeignet ist, eine Verbindung (bonding) mit einer weiteren Isolationsschicht herzustellen; des Ausbilden eines zweiten Substratabschnitts, der ein Vollsubstrat und eine auf dem zweiten Vollsubstrat ausgebildete dritte Isolationsschicht aufweist; des Binden des zweiten Substratabschnitts an den ersten Substratabschnitt, um eine Verbindungsgrenzfläche zwischen der zweiten und der dritten Isolationsschicht zu definieren; das Abtrennen der resultierenden verbundenen Struktur an einer Stelle in dem Hybridvollsubstrat oder der Opferschicht und das Entfernen aller verbleibenden Abschnitte des Hybridvollsubstrats; und des Entfernen aller verbleibenden Abschnitte der Opferschicht, um eine Halbleiter-auf-Isolator-Hybridwafer-Struktur mit zwei vergrabenen Isolatoren und Rückgate zu definieren, wobei die erste Isolationsschicht eine obere Isolationsschicht umfasst, die verbundenen zweiten und dritten Isolationsschichten zusammen eine untere Isolationsschicht umfassen, die Hybridhalbleiterschicht eine Halbleiter-auf-Isolator-Hybridschicht umfasst, die die Abschnitte mit der ersten und der zweiten Kristallorientierung aufweist, die elektrisch leitende Schicht eine Rückgate-Schicht umfasst und das Vollsubstrat ein Vollsubstrat der Halbleiter-auf-Isolator-Hybridwafer-Struktur mit zwei vergrabenen Isolatoren und Rückgate umfasst.
  • Bei einer weiteren Ausführungsform umfasst ein Verfahren zum Ausbilden einer Halbleiter-auf-Isolator(SOI)-Hybridwafer-Struktur mit zwei vergrabenen Oxidschichten (BOX) und Rückgate (DBBG) für integrierte Schaltungseinheiten des Ausbilden eines ersten Substratabschnitts, wobei der erste Substratabschnitt des Weiteren ein Hybridsiliciumvollsubstrat umfasst, das einen Abschnitt mit einer (100) Kristallorientierung und einen Abschnitt mit einer (110) Kristallorientierung, eine epitaktisch auf dem Hybridsiliciumvollsubstrat aufgewachsene Silicium-Germanium(SiGe)-Opferschicht, eine auf der Opferschicht aufgewachsene Hybridsiliciumschicht, wobei die Hybridsiliciumschicht auch einen Abschnitt mit einer (100) Kristallorientierung und einen Abschnitt mit einer (110) Kristallorientierung entsprechend dem Hybridsiliciumvollsubstrat aufweist, eine thermisch auf der Hybridsiliciumschicht aufgewachsene oder abgeschiedene erste Oxidschicht, eine auf der ersten Oxidschicht abgeschiedene Ätzstoppschicht, eine auf der Ätzstoppschicht ausgebildete, elektrisch leitende Rückgate-Schicht und eine thermisch auf der Rückgate-Schicht aufgewachsene oder abgeschiedene zweite Oxidschicht aufweist; das Ausbilden eines zweiten Substratabschnitts, der ein Siliciumvollsubstrat und eine thermisch auf dem zweiten Vollsubstrat aufgewachsene oder abgeschiedene dritte Oxidschicht aufweist; das Implantieren einer Wasserstoffspezies durch die zweite Oxidschicht, die elektrisch leitende Rückgate-Schicht, die Ätzstoppschicht, die erste Oxidschicht und die Siliciumschicht, wobei der Vorgang in oder jenseits der SiGe-Opferschicht endet; das Binden des zweiten Substratabschnitts an den ersten Substratabschnitt, um eine Verbindungsgrenzfläche zwischen der zweiten und der dritten Oxidschicht zu definieren; das Durchführen einer ersten Temperprozedur, um die Oxid-an-Oxid-Bindung zwischen der zweiten und der dritten Oxidschicht zu verstärken; das Durchführen einer zweiten Temperprozedur bei einer höheren Temperatur als bei der ersten Temperprozedur, um eine Front von verbundenen Hohlräumen entsprechend einer Position der Wasserstoffspezies zu erzeugen; das Abtrennen der verbundenen Struktur entlang der Hohlraumfront; und das Entfernen der verbleibenden Teile des Hybridsiliciumvollsubstrats und der SiGe-Opferschicht auf der Hybridsiliciumschicht, um die DBBG-SOI-Hybridwafer-Struktur zu definieren, wobei die erste Oxidschicht und die Ätzstoppschicht eine obere BOX umfassen, die verbundenen zweiten und dritten Oxidschichten zusammen eine untere BOX umfassen, die Hybridsiliciumschicht eine SOI-Hybridschicht umfasst, die Rückgate-Schicht zwischen der oberen BOX und der unteren BOX angeordnet ist und das Siliciumvollsubstrat ein Vollsubstrat der DBBG-SOI-Hybridwafer-Struktur umfasst.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Mit Bezug auf die beispielhaften Zeichnungen, in denen gleiche Elemente in den verschiedenen Figuren gleich nummeriert sind, gilt:
  • Die 1 bis 15 stellen verschiedene Querschnitte dar, die ein Verfahren zum Ausbilden einer Rückgate-gesteuerten, extrem dünnen Silicium-auf-Isolator-Hybridwafer-Struktur (ETSOI) mit Kanälen mit verbesserter Beweglichkeit nach einer Ausführungsform der Erfindung veranschaulichen, wobei im Besonderen gilt:
  • Die 1 und 2 veranschaulichen die Ausbildung eines für die ETSOI-Hybridstruktur verwendeten ersten Substratabschnitts;
  • 3 veranschaulicht eine in eine Silicium-Germanium(SiGe)-Opferschicht des ersten Substratabschnitts implantierte Wasserstoffspezies;
  • 4 veranschaulicht die Ausbildung eines für die ETSOI-Hybridstruktur verwendeten zweiten Substratabschnitts;
  • 5 veranschaulicht das Verbinden des ersten Substratabschnitts mit dem zweiten Substratabschnitt;
  • 6 veranschaulicht eine Temperprozedur zum Ausbilden einer Bruchfront in der SiGe-Opferschicht der verbundenen Struktur;
  • 7 veranschaulicht die Entfernung eines oberen Abschnitts der verbundenen Struktur im Anschluss an die Abtrennung an der SiGe-Opferschicht;
  • 8 veranschaulicht den verbleibenden unteren Abschnitt der verbundenen Struktur und die verbleibende SiGe-Opferschicht im Anschluss an die Trennung des Wafers;
  • 9 veranschaulicht eine resultierende ETSOI-Hybridwafer-Struktur im Anschluss an die Entfernung der verbleibenden SiGe-Opferschicht und die abschließende Verbindungs- und Temperprozedur;
  • Die 10 bis 15 veranschaulichen einen anschließenden Isolationsprozess von selbstausgerichteten Flachgräben mit zwei Tiefen für die ETSOI-Hybridwafer-Struktur vor der Ausbildung eines vorderen Gates; und
  • Die 16 bis 22 veranschaulichen eine weitere Verarbeitung der Einheit zum Ersetzen der SOI-Schicht mit einer Kristallorientierung durch ein anderes Halbleitermaterial mit derselben Kristallorientierung, um eine zusätzliche Kanalverspannung zu erzeugen, gemäß einer weiteren Ausführungsform der Erfindung.
  • GENAUE BESCHREIBUNG
  • Hier wird eine Rückgate-gesteuerte ETSOI-Hybridwafer-Struktur für Halbleitereinheiten beschrieben, die Kanäle mit verbesserter Beweglichkeit für NFET- und PFET-Einheiten aufweist. Die ETSOI-Hybridwafer-Struktur beinhaltet ein extrem dünnes Halbleitermaterial mit einer (100) Kristallorientierung (z. B. Si) für NFET-Einheiten und ein extrem dünnes Halbleitermaterial mit einer (110) Kristallorientierung (z. B. Si, SiGe oder Ge) für PFET-Einheiten, wobei beide Bereiche ein dünnes Rückgate-Dielektrikum und eine zweite vergrabene Oxidschicht für eine Rückgate-Isolierung beinhalten. Dabei ist die Elektronenbeweglichkeit in dem (100) Material am größten, während die Löcherbeweglichkeit in dem (110) Material am größten ist. Darüber hinaus können anschließend weitere Verspannungstechniken wie zum Beispiel die Ausbildung einer verspannten CA-Schicht, Verspannungs-Speicher-Techniken (stressed memory techniques, SMT) oder eine durch Metallgates eingebrachte Verspannung angewendet werden. Infolgedessen wird eine verbesserte Leistung von Einheiten durch Kanalmaterialien mit verbesserter Beweglichkeit in dem ETSOI in Kombination mit einem Doppel-BOX-SOI realisiert, wodurch eine vollständig dielektrisch isolierte Rückgate-Steuerung ermöglicht wird. Dadurch kann wiederum die Überlappungskapazität zwischen Vordergate und Rückgate verringert und der Stromverbrauch gesenkt werden.
  • Wenngleich Begriffe wie zum Beispiel „BOX” und „SOI” von bestimmten Akronymen nach dem Stand der Technik herrühren, die bestimmte Materialien (z. B. Oxid, Silicium) definieren, versteht es sich, dass solche Begriffe auch auf andere Materialien wie Isolatoren und Halbleiter im Allgemeinen angewendet werden können. Das heißt, SOI kann neben Silicium auf Isolatoren auch andere Hableiter beschreiben, und BOX kann sich neben Oxiden auf andere Materialien für vergrabene Isolatoren beziehen.
  • Es wird nun im Allgemeinen auf die Figuren Bezug genommen, wobei 1 die Ausbildung eines ersten Substratabschnitts 100 veranschaulicht, in dem auf ein vorbereitetes Hybridvollsubstrat 101, das einen (100) Si-Abschnitt 102 und einen (110) Si-Abschnitt 102' aufweist, eine Opferschicht 104 aus Silicium-Germanium (SiGe) (z. B. mit einer Dicke von 5 bis 1.000 Nanometern (nm)) mit einem Ge-Gehalt von beispielsweise ca. 10 bis 35% abgeschieden wird. Das Hybridvollsubstrat 101 kann durch Silicium-Direktverbinden (direct silicon bonding, DSB) oder durch Verbinden mithilfe der Hybridausrichtungstechnologie (hybrid orientation technology bonding, HOT B) mit einem Wafer, der über eine (100) Si-Vorlage und eine (110) Si-Vorlage verfügt, vorbereitet werden. Die SiGe-Opferschicht 104 weist eine (100) Kristallorientierung über dem (100) Si-Abschnitt 102 des Hybridvollsubstrats 101 und eine (110) Kristallorientierung über dem (110) Abschnitt 102' auf.
  • Auf die SiGe-Opferschicht 104 folgt eine dünne Schicht (z. B. ca. 5 bis 50 nm) eines epitaktisch aufgewachsenen Siliciums, das schließlich eine SOI-Hybridschicht der Doppel-BOX-Struktur bildet. Im Einklang mit der Struktur des Hybridvollsubstrats 101 umfasst die SOI-Hybridschicht einen (100) Kristallabschnitt 106 und einen (110) Kristallabschnitt 106'. Die SOI-Hybridschicht 106/106' kann in demselben Verarbeitungsschritt wie die SiGe-Schicht 104 (z. B. durch Abschalten einer Ge-Gasquelle nach Abschluss der Ausbildung der SiGe-Schicht) ausgebildet werden.
  • Dann wird eine relativ dünne (z. B. ca. 5 bis 20 nm) Oxidschicht 108 thermisch oben auf der SOI-Hybridschicht 106/106' aufgewachsen oder abgeschieden. Die Oxidschicht 108 kann bei einer Temperatur von beispielsweise ca. 600 bis 800°C thermisch aufgewachsen oder abgeschieden werden. Wie des Weiteren in 1 dargestellt, wird eine Ätzstoppschicht 110 in einer Dicke von ca. 5 bis 10 nm auf der Oxidschicht 108 abgeschieden.
  • Die Ätzstoppschicht 110 ist ein Isolator, bei dem es sich um ein Material mit hoher Dielektrizitätskonstante (high-K) wie zum Beispiel SiN, HfO2, HfSiO2, Al2O3 usw. handeln kann. So, wie der Begriff hier verwendet wird, kann sich „High-K-Material” auf jedes Material beziehen, das eine wesentlich höhere Dielektrizitätskonstante als 4,0 aufweist. Anschließend wird eine elektrische leitende Schicht 112 aus einem Rückgate-Material (z. B. aus amorphem Silicium, dotiertem oder undotiertem Polysilicium, Metall, Metallsilizid, Metallnitrid usw.) mit einer Dicke von ca. 20 bis 100 nm auf der High-K-Ätzstoppschicht 110 abgeschieden.
  • In 2 wird eine weitere Oxidschicht 114 (z. B. ca. 10 bis 100 nm) thermisch auf der Rückgate-Schicht 112 aufgewachsen oder abgeschieden, die eine anschließende Oxid-Verbindungsgrenzfläche definiert. Anschließend wird, wie in 3 dargestellt, ein Wasserstoffimplantationsschritt (wie durch die Pfeile angegeben) durchgeführt, um eine Schicht einer Wasserstoffspezies in die oder jenseits der SiGe-Schicht 104 einzufügen. Dies kann zum Beispiel mithilfe des bestens bekannten Smart-Cut®-Prozesses durchgeführt werden, der in der US-Patentschrift 5 374 564 beschrieben wird. Um eine Beschädigung der SOI-Hybridschicht 106/106' zu vermeiden, sollten die Bedingungen für die Implantation der Wasserstoffspezies dergestalt sein, dass die Spezies an einer geeigneten Stelle wie zum Beispiel in der SiGe-Schicht 104 endet bzw. den Punkt des weitesten Vordringens erreicht, wie durch den Implantationsbereich 116 in 3 gekennzeichnet.
  • Als Nächstes wird mit Bezug auf 4 die Ausbildung eines zweiten Substratabschnitts 200 dargestellt, in dem auf einem Siliciumvollsubstrat 202 eine Oxidschicht 204 (zum Beispiel mit einer Dicke von 10 bis 100 Nanometern (nm)) thermisch aufgewachsen oder abgeschieden wird. 5 veranschaulicht das Verbinden des ersten Substratabschnitts 100 mit dem zweiten Substratabschnitt 200, wobei die abgeschiedene Oxidschicht 114 des ersten Substratabschnitts 100 durch eine Oxid-an-Oxid-Bindung mit der Oxidschicht 204 des zweiten Substratabschnitts 200 verbunden wird. Auf diese Weise verbunden definieren die Schichten 114 und 204 zusammen eine untere BOX-Schicht für ein Doppel-BOX-Substrat. Es wird eine erste Temperprozedur (z. B. bei ca. 300°C) durchgeführt, um die Verbindungsgrenzfläche zwischen den Schichten 114 und 204 zu verstärken.
  • Wie anschließend in 6 dargestellt, wird die Struktur einer zweiten Temperprozedur (bei einer höheren Temperatur als bei der ersten Temperprozedur, z. B. bei ca. 400°C) unterzogen, um zu bewirken, dass die Wasserstoffspezies eine Front von verbundenen Hohlräumen 602 von Hydridbereichen in der SiGe-Schicht 104 bildet. Die Struktur wird dann entlang der Front gebrochen, wie in 7 dargestellt. Der obere Abschnitt mit dem Hybridvollsubstrat 101 und einem Abschnitt der SiGe-Schicht 104 wird dann entfernt, sodass die in 8 dargestellte Struktur zurückbleibt, in der ein Abschnitt der SiGe-Schicht 104 nach der Trennung des Wafers verbleibt. Es ist wiederum ersichtlich, dass, falls der Implantationsbereich 116 während der Implantationsprozedur von 3 wesentlich über die SiGe-Schicht 104 hinaus und in das Hybridvollsubstrat 101 hinein definiert wird, die Abtrennung entlang der Front in 7 innerhalb des Hybridvollsubstrats 101 dargestellt würde und ein Abschnitt davon oben auf der in 8 gezeigten Struktur verbliebe.
  • Als Nächstes werden alle verbleibenden Abschnitte des Hybridvollsubstrats 101 zum Beispiel durch Polieren oder durch eine in Bezug auf Silicium selektive Nassätzung (z. B. eine Ätzung mit Tetramethylammoniumhydroxid (TMAH)) entfernt, und die verbleibende SiGe-Schicht 104 wird mithilfe einer in Bezug auf SiGe selektiven Ätzung wie zum Beispiel einer heißen Lösung vom Typ Huang A (NH4OH:H2O2:H2O) entfernt. Anschließend wird eine weitere Temperprozedur (bei einer höheren Temperatur als bei der zweiten Temperprozedur, z. B. bei ca. 800 bis 1.000°C) durchgeführt, um die Oxid-an-Oxid-Bindung weiter zu verstärken. Wie in 9 dargestellt, resultiert dies in einer Doppel-BOX-Rückgate-Hybridstruktur 900, die ein Vollsubstrat 202, eine untere BOX-Schicht 902 (die die Oxid-Verbindungsgrenzfläche enthält) über dem Substrat 202, eine leitende Rückgate-Schicht 112 über der unteren BOX-Schicht 902, eine Ätzstoppschicht 110 über der leitenden Rückgate-Schicht 112, eine obere BOX-Schicht 108 über der High-K-Ätzstoppschicht 110 und eine SOI-Hybridschicht 106/106' über der oberen BOX-Schicht 108 aufweist. Zudem wird die DBBG-SOI-Hybridstruktur 900 in solcher Weise, dass im Voraus kein kostspieliges SOI-Ausgangssubstrat erforderlich ist, und in einer Weise, bei der die Dicke der verbleibenden SOI-Hybridschicht 106/106' und der dielektrischen Schichten 108 und 110 sämtlich gut gesteuert sind, ausgebildet. Die Ätzstoppschicht 110 über der leitenden Rückgate-Schicht 112 stellt eine Nass- und Trockenätzisolation gegenüber einem anschließenden Fertigungsprozess von Flachgrabenisolationen (shallow trench isolation, STI) mit zwei Tiefen für die Rückgate- und die aktiven Bereiche bereit und dient als Leckstrombarriere für anschließende Betriebsvorgänge der Einheit.
  • Die DBBG-SOI-Hybridstruktur 900, wie in 9 dargestellt, kann in einem anschließenden Ausbildungsprozess von selbstausgerichteten STIs mit zwei Tiefen verwendet werden, in dem beispielsweise Transistorbereiche durch flache STI-Bereiche in einer SOI-Schicht mit einer gegebenen Kristallorientierung definiert und dielektrisch isoliert werden können (z. B. durch Isolieren von NFETs in der (100) SOI-Schicht 106) und funktional dielektrisch isolierte Rückgates für einzelne Transistoren oder Gruppen von Transistoren durch tiefere STI-Bereiche definiert werden können, die durch die Rückgate-Schicht hindurchführen (sodass sie z. B. Bereiche für Rückgate-Wannen in der Schicht 112 isolieren und NFETs in der (100) SOI-Schicht 106 gegenüber PFETs in der (110) SOI-Schicht 106' isolieren).
  • Es wird nun auf 10 Bezug genommen, in der die DBBG-SOI-Hybridstruktur im Anschluss an das Strukturieren einer Hartmaskenschicht 1002 dargestellt wird, die eine mittels eines Plasmas hoher Dichte (high density plasma, HDP) abgeschiedene Oxidschicht 1004 und eine SiN-Schicht 1006 beinhalten kann, sodass flache STI-Vertiefungen 1008 für die Isolation von Transistoreinheiten definiert werden. Abschnitte eines oder mehrerer der auf dieser Ebene definierten STI-Vertiefungen 1008 definieren außerdem flachere und tiefere STI-Vertiefungen auf der Rückgate-Ebene, die wiederum auf die entsprechenden flacheren STI-Vertiefungen auf der SOI-Ebene selbstausgerichtet sind.
  • Die STI-Struktur mit den flachen Vertiefungen wird anschließend durch die SOI-Hybridschicht 106/106' und die obere BOX-Schicht 108 geätzt, wobei der Vorgang auf der Ätzstoppschicht 110 endet, wie in 11 dargestellt. Eine Fotolackschicht 1102 wird anschließend dazu verwendet, die aktiven Bereiche der Einheit abzudecken, worauf eine Belichtung und eine selektive Entfernung des Fotolacks folgt, um einen Abschnitt einer oder mehrerer der flachen STI-Vertiefungen 1008 freizulegen, in dem die tieferen STI-Vertiefungen auf der Rückgate-Ebene ausgebildet werden sollen. Bei der veranschaulichten beispielhaften Ausführungsform wird die flache STI-Vertiefung 1008 zwischen der (100) SOI-Schicht 106 und der (110) SOI-Schicht 106' in 11 für einen weiteren Ätzvorgang freigelegt.
  • Anschließend wird/werden, wie in 12 dargestellt, die tiefere(n) STI-Vertiefung(en) auf der Rückgate-Ebene durch Ätzen definiert, das bei der Ätzstoppschicht 110 (unter Verwendung einer gesonderten Ätzchemikalie in Bezug auf das flachere STI-Ätzen auf der SOI-Ebene) beginnt, durch die Rückgate-Schicht 112 (wobei die Ätzchemikalie erneut gewechselt wird) führt und auf oder in der unteren BOX 902 endet. Dadurch wird eine tiefere Vertiefung 1202 für eine STI auf der Rückgate-Ebene definiert.
  • Sowohl die flachen (1008) als auch die tiefen (1202) STI-Vertiefungen werden mit einer Abscheidung von dielektrischem/n Material(ien) wie zum Beispiel HDP-Oxid gefüllt. Zusätzliche Schichten aus anderen Isolationsmaterialien können ebenfalls in die STI-Füllung einbezogen werden. 13 stellt die Struktur in 12 nach dem STI-Füllprozess dar. Der Wafer wird dann einer Hochtemperatur-Temperprozedur (z. B. bei 1.000°C) unterzogen, um eine Verdichtung der zu verarbeitenden abgeschiedenen STI-Füllmaterialien für das anschließende chemisch-mechanische Polieren (chemical and mechanical polish, CMP) zu erzielen. Die abgeschiedenen STI-Füllmaterialien werden durch einen chemisch-mechanischen Polierprozess (CMP) abgedünnt und bis zu einer gegebenen Tiefe (z. B. 10 bis 15 nm) in die SiN-Schicht 1006 poliert, wie in 14 dargestellt.
  • In 15 wird die Hartmaske der aktiven Bereiche durch chemisches Ätzen (mithilfe unterschiedlicher Chemikalien zum Entfernen der SiN-Schicht 1006 und der HDP-Oxidschicht 1004) entfernt, wodurch eine Rückgate-gesteuerte ETSOI-Hybridwafer-Struktur 1500 mit selbstausgerichteten STI-Ausbildungen mit zwei Tiefen entsteht. 15 zeigt insbesondere, dass die flachen STI-Bereiche 1502 und die tiefen STI-Bereiche 1504, die durch einen selbstausgerichteten Prozess ausgebildet wurden, die dielektrischen Isolationen zwischen den FETs und zwischen den Rückgate-Bereichen für NFETs und PFETs vereinfachen. Infolge der extrem dünnen SOI-Hybridschicht 106/106' wird darüber hinaus die Ladungsträgerbeweglichkeit aufgrund der Möglichkeit zur Ausbildung von NFET-Einheiten auf dem (100) ETSOI und von PFET-Einheiten auf dem (110) ETSOI erhöht.
  • Für den Fall, dass eine noch größere Verbesserung der Ladungsträgerbeweglichkeit in den PFET-Bereichen der Einheit gewünscht wird, könnte das (110) Si 106' der Struktur von 15 auch durch (110) SiGe ersetzt werden, das eine Druckspannung im Kanal erzeugt, durch die die Löcherbeweglichkeit erhöht wird. Es wird nun zu 16 übergegangen, in der eine Hartmaskenschicht, die eine Kontaktstellen-Oxidschicht 1602 und eine Kontaktstellen-Nitridschicht 1604 beinhaltet, über der Einheit ausgebildet wird. Anschließend wird eine Fotolackschicht 1702 strukturiert, um die Bereiche freizulegen, die der (110) Si-Schicht 106' entsprechen, wie in 17 dargestellt. Die freigelegten Abschnitte des Kontaktstellennitrids 1604 und des Kontaktstellenoxids 1602 werden dann beispielsweise durch reaktives Ionenätzen (reactive ion etching, RIE) bzw. durch Ätzen mit verdünnter Flusssäure (dilute hydrofluoric acid, DHF) entfernt, wie in 18 dargestellt.
  • Nun wird mit Bezug auf 19 der verbleibende Fotolack entfernt, worauf ein selektives epitaktisches Wachstum einer SiGe-Schicht 1902 auf der freigelegten (110) Si-Schicht 106' folgt. Wie die (110) Si-Schicht 106' verfügt auch die SiGe-Schicht 1902 über eine (110) Kristallorientierung. Anschließend wird, wie in 20 dargestellt, eine Hochtemperaturoxidation in einer trockenen Sauerstoffumgebung (O2) angewendet, um die SiGe-Schicht 1902 zu oxidieren und sie so in eine SiO2-Schicht 2002 umzuwandeln. Infolgedessen wandelt die „Germaniumkondensation” von Ge-Atomen, die von der ursprünglichen SiGe-Schicht 1902 hinunter zu der (110) Si-Schicht 106' verlagert worden sind, diese in eine verspannte (110) SiGe-Schicht 2004 um, die direkt auf der oberen BOX-Schicht 108 angeordnet ist. Zusätzliche Informationen bezüglich des Ge-Kondensationsprozesses sind in der Veröffentlichung mit dem Titel „Strained SOI/SGOI Dual-channel CMOS Technology Based on the Ge Condensation Technique" Semicond. Sci. Technol. 22 (2007), Seiten S93–98, von Tezuka et al. zu finden, deren gesamter Inhalt durch Bezugnahme hierin eingeschlossen ist.
  • Die umgewandelte SiO2-Schicht 2002 von 20 wird anschließend in 21 beispielsweise durch eine DHF-Nassätzung entfernt, wodurch die neu ausgebildete, extrem dünne (110) SiGe-Schicht 2004 freigelegt wird. Abschließend werden die Kontaktstellennitrid- und die Kontaktstellenoxid-Schicht 1604, 1602 auf den (100) Abschnitten der Einheit entfernt, wie in 22 dargestellt, wodurch eine weitere Ausführungsform einer Rückgate-gesteuerten ETSOI-Hybridwafer-Struktur 2200 mit selbstausgerichteten STI-Ausbildungen mit zwei Tiefen definiert wird. Im Vergleich zu der Ausführungsform 1500 von 15 ist die Struktur 2200 nicht nur in Bezug auf die Kristallorientierungen der NFET- und PFET-Bereiche hybrid, sondern auch in Bezug auf das Halbleitermaterial (Si gegenüber SiGe), um eine zusätzliche Erhöhung der Löcherbeweglichkeit zu erzielen, die durch einige zusätzliche Verarbeitungsschritte realisiert wird.
  • Die Erfindung ist zwar mit Bezug auf eine oder mehrere bevorzugte Ausführungsformen beschrieben worden, für Fachleute ist jedoch ersichtlich, dass verschiedene Änderungen vorgenommen werden können und Elemente davon durch gleichartige Elemente ersetzt werden können, ohne vom Umfang der Erfindung abzuweichen. Darüber hinaus können zahlreiche Modifizierungen vorgenommen werden, um eine bestimmte Situation oder ein bestimmtes Material an die Lehren der Erfindung anzupassen, ohne von ihrem wesentlichen Umfang abzuweichen. Daher soll die Erfindung nicht auf die besondere Ausführungsform, die als am besten erachtete Art der Durchführung dieser Erfindung beschrieben worden ist, beschränkt sein, sondern die Erfindung soll alle Ausführungsformen beinhalten, die in den Umfang der beigefügten Ansprüche fallen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 5374564 [0024]
  • Zitierte Nicht-Patentliteratur
    • „Strained SOI/SGOI Dual-channel CMOS Technology Based on the Ge Condensation Technique” Semicond. Sci. Technol. 22 (2007), Seiten S93–98, von Tezuka et al. [0035]

Claims (26)

  1. Halbleiterwaferstruktur für integrierte Schaltungseinheiten, die Folgendes umfasst: ein Vollsubstrat; eine auf dem Vollsubstrat ausgebildete untere Isolationsschicht; eine auf der unteren Isolationsschicht ausgebildete, elektrisch leitende Rückgate-Schicht; eine auf der Rückgate-Schicht ausgebildete obere Isolationsschicht; und eine auf der oberen Isolationsschicht ausgebildete Halbleiter-auf-Isolator-Hybridschicht, wobei die Halbleiter-auf-Isolator-Hybridschicht einen ersten Abschnitt, der eine erste Kristallorientierung aufweist, und einen zweiten Abschnitt umfasst, der eine zweite Kristallorientierung aufweist.
  2. Struktur nach Anspruch 1, wobei die erste Kristallorientierung eine (100) Kristallorientierung umfasst und die zweite Kristallorientierung eine (110) Kristallorientierung umfasst.
  3. Struktur nach Anspruch 1 oder 2, wobei der erste Abschnitt der Halbleiter-auf-Isolator-Hybridschicht (100) Silicium umfasst und der zweite Abschnitt der Halbleiter-auf-Isolator-Hybridschicht (110) Silicium umfasst.
  4. Struktur nach Anspruch 1 oder 2, wobei der zweite Abschnitt der Halbleiter-auf-Isolator-Hybridschicht (100) Silicium umfasst und der zweite Abschnitt der Halbleiter-auf-Isolator-Hybridschicht mindestens eines des Folgenden umfasst: (110) Silicium-Germanium und (110) Germanium.
  5. Struktur nach einem der vorangehenden Ansprüche, die des Weiteren Folgendes umfasst: eine Vielzahl von durch die Halbleiter-auf-Isolator-Hybridschicht hindurch ausgebildeten flachen Vertiefungen einer flachen Grabenisolation (STI) auf Ebene des aktiven Bereichs; und eine oder mehrere durch die obere Isolationsschicht und die Rückgate-Schicht hindurch ausgebildete tiefe STI-Vertiefungen auf Rückgate-Ebene, wobei die eine oder mehreren tiefen STI-Vertiefungen auf Rückgate-Ebene Abschnitte aufweisen, die mit Abschnitten von einer oder mehreren der flachen Vertiefungen auf der Ebene des aktiven Bereichs selbstausgerichtet sind; wobei sowohl die flachen STI-Vertiefungen im aktiven Bereich als auch die eine oder mehreren selbstausgerichteten tiefen STI-Vertiefungen auf der Rückgate-Ebene mit einem oder mehreren Isolationsmaterialien gefüllt sind.
  6. Struktur nach Anspruch 5, wobei die obere Isolationsschicht des Weiteren eine Ätzstoppschicht auf der Rückgate-Schicht beinhaltet.
  7. Struktur nach Anspruch 6, wobei: eine Bodenfläche der flachen STI-Vertiefungen auf der Ebene des aktiven Bereichs auf einer in der oberen Isolationsschicht enthaltenen Ätzstoppschicht endet; eine Bodenfläche der einen oder mehreren tiefen STI-Vertiefungen auf der Rückgate-Ebene auf der unteren Isolationsschicht endet; die obere Isolationsschicht des Weiteren eine Oxidschicht auf der Ätzstoppschicht umfasst; und die untere Isolationsschicht des Weiteren eine Oxidschicht umfasst, wobei die untere Isolationsschicht einer unteren vergrabenen Oxidschicht (BOX) entspricht und die obere Isolationsschicht einer oberen BOX-Schicht entspricht.
  8. Verfahren zum Ausbilden einer Halbleiterwaferstruktur für integrierte Schaltungseinheiten, wobei das Verfahren Folgendes umfasst: Ausbilden eines ersten Substratabschnitts, wobei der erste Substratabschnitt des Weiteren ein Hybridvollsubstrat, das einen Abschnitt mit einer ersten Kristallorientierung und einen Abschnitt mit einer zweiten Kristallorientierung aufweist, eine auf dem Hybridvollsubstrat ausgebildete Opferschicht, eine auf der Opferschicht ausgebildete Hybridhalbleiterschicht, eine auf der Hybridhalbleiterschicht ausgebildete erste Isolationsschicht, eine auf der ersten Isolationsschicht ausgebildete elektrisch leitende Schicht und eine auf der elektrisch leitenden Schicht ausgebildete zweite Isolationsschicht umfasst, die geeignet ist, eine Verbindung mit einer weiteren Isolationsschicht herzustellen; Ausbilden eines zweiten Substratabschnitts, der ein Vollsubstrat und eine auf dem zweiten Vollsubstrat ausgebildete dritte Isolationsschicht aufweist; Verbinden des zweiten Substratabschnitts mit dem ersten Substratabschnitt, um eine Verbindungsgrenzfläche zwischen der zweiten und der dritten Isolationsschicht zu definieren; Trennen der resultierenden verbundenen Struktur an einer Stelle in dem Hybridvollsubstrat oder der Opferschicht und das Entfernen aller verbleibenden Abschnitte des Hybridvollsubstrats; und Entfernen aller verbleibenden Abschnitte der Opferschicht, um eine Halbleiter-auf-Isolator-Hybridwafer-Struktur mit zwei vergrabenen Isolatoren und Rückgate zu definieren, wobei die erste Isolationsschicht eine obere Isolationsschicht umfasst, die verbundenen zweiten und dritten Isolationsschichten zusammen eine untere Isolationsschicht umfassen, die Hybridhalbleiterschicht eine Halbleiter-auf-Isolator-Hybridschicht umfasst, die die Abschnitte mit einer ersten und einer zweiten Kristallorientierung aufweist, die elektrisch leitende Schicht eine Rückgate-Schicht umfasst und das Vollsubstrat ein Vollsubstrat der Halbleiter-auf-Isolator-Hybridwafer-Struktur mit zwei vergrabenen Isolatoren und Rückgate umfasst.
  9. Verfahren nach Anspruch 8, wobei die erste Kristallorientierung eine (100) Kristallorientierung umfasst und die zweite Kristallorientierung eine (110) Kristallorientierung umfasst.
  10. Verfahren nach Anspruch 8, wobei der erste Abschnitt der Halbleiter-auf-Isolator-Hybridschicht (100) Silicium umfasst und der zweite Abschnitt der Halbleiter-auf-Isolator-Hybridschicht (110) Silicium umfasst.
  11. Struktur nach Anspruch 8, wobei der erste Abschnitt der Halbleiter-auf-Isolator-Hybridschicht (100) Silicium umfasst und der zweite Abschnitt der Halbleiter-auf-Isolator-Hybridschicht mindestens eines des Folgenden umfasst: (110) Silicium-Germanium und (110) Germanium.
  12. Verfahren nach Anspruch 8, wobei die Opferschicht Silicium-Germanium umfasst, die erste, zweite und dritte Isolationsschicht Oxidschichten auf der Grundlage von Silicium umfassen, das Vollsubstrat Silicium umfasst und die Hybridhalbleiterschicht und das Hybridvollsubstrat jeweils (100) Silicium- und (110) Siliciumabschnitte umfassen.
  13. Verfahren nach Anspruch 8, wobei die elektrisch leitende Schicht eines oder mehrere des Folgenden umfasst: amorphes Silicium, undotiertes Polysilicium, dotiertes Polysilicium, Metall, Metallsilizid und Metallnitrid.
  14. Verfahren nach Anspruch 8, das des Weiteren ein Durchführen einer Temperprozedur umfasst, um die Verbindung zwischen der zweiten und der dritten Isolationsschicht zu verstärken.
  15. Verfahren nach Anspruch 8, das des Weiteren ein Ausbilden einer Ätzstoppschicht zwischen der ersten Isolationsschicht und der elektrisch leitenden Schicht umfasst.
  16. Verfahren nach Anspruch 8, das des Weiteren Folgendes umfasst: Ausbilden einer Hartmaskenschicht über der Halbleiter-auf-Isolator-Hybridwafer-Struktur mit zwei vergrabenen Isolatoren und Rückgate; Strukturieren der Hartmaskenschicht und Ätzen durch die Halbleiter-auf-Isolator-Hybridschicht hindurch, um flache STI-Vertiefungen auf der Ebene des aktiven Bereichs auszubilden; Ausbilden einer Fotolackschicht und lithografisches Strukturieren der Fotolackschicht, um einen Teil von einer oder mehreren der STI-Vertiefungen auf der Ebene des aktiven Bereichs selektiv freizulegen; Ätzen durch alle verbleibenden Abschnitte der oberen Isolationsschicht und durch die Rückgate-Schicht hindurch, wodurch eine oder mehrere tiefe STI-Vertiefungen auf der Rückgate-Ebene ausgebildet werden, von denen Abschnitte mit Abschnitten einer oder mehrerer der flachen Vertiefungen auf der Ebene des aktiven Bereichs selbstausgerichtet sind; und Füllen sowohl der flachen STI-Vertiefungen im aktiven Bereich und der selbstausgerichteten tiefen STI-Vertiefungen auf der Rückgate-Ebene mit einem oder mehreren Isolationsmaterialien und anschließendes Planarisieren des einen oder der mehreren eingefüllten Isolationsmaterialien.
  17. Verfahren nach Anspruch 16, wobei das Ätzen der flachen STI-Vertiefungen auf der Ebene des aktiven Bereichs auf einer in der oberen Isolationsschicht enthaltenen Ätzstoppschicht endet und das Ätzen der tiefen STI-Vertiefungen auf der Rückgate-Ebene auf der unteren Isolationsschicht endet.
  18. Verfahren nach einem der Ansprüche 8 bis 17 zum Ausbilden einer Halbleiter-auf-Isolator(SOI)-Hybridwafer-Struktur mit zwei vergrabenen Oxidschichten (BOX) und Rückgate (DBBG), wobei: die Hybridhalbleiterschicht aus Silicium ausgebildet wird und einen Abschnitt mit einer (100) Kristallorientierung und einen Abschnitt mit einer (110) Kristallorientierung entsprechend dem Hybridsiliciumvollsubstrat aufweist, es sich bei der ersten Isolationsschicht um eine erste Oxidschicht handelt, die thermisch auf der Hybridsiliciumschicht aufgewachsen oder abgeschieden ist, und wobei eine Ätzstoppschicht auf der ersten Oxidschicht abgeschieden ist, die elektrisch leitende Schicht eine Rückgate-Schicht umfasst, welche auf der Ätzstoppschicht ausgebildet ist, und die zweite Isolationsschicht eine thermisch auf der Rückgate-Schicht aufgewachsene oder abgeschiedene zweite Oxidschicht umfasst; und Ausbilden eines zweiten Substratabschnitts, der ein Siliciumvollsubstrat und eine thermisch auf dem zweiten Vollsubstrat aufgewachsene oder abgeschiedene dritte Isolationsschicht aufweist.
  19. Verfahren nach Anspruch 17, das des Weiteren Folgendes umfasst: Implantieren einer Wasserstoffspezies durch die zweite Oxidschicht, die elektrisch leitende Rückgate-Schicht, die Ätzstoppschicht, die erste Oxidschicht und die Siliciumschicht, wobei der Vorgang in oder jenseits der SiGe-Opferschicht endet; Verbinden des zweiten Substratabschnitts mit dem ersten Substratabschnitt, um eine Verbindungsgrenzfläche zwischen der zweiten und der dritten Oxidschicht zu definieren; Durchführen einer ersten Temperprozedur, um die Oxid-an-Oxid-Bindung zwischen der zweiten und der dritten Oxidschicht zu verstärken; Durchführen einer zweiten Temperprozedur bei einer höheren Temperatur als bei der ersten Temperprozedur, um eine Front von verbundenen Hohlräumen entsprechend einer Position der Wasserstoffspezies zu erzeugen; Abtrennen der verbundenen Struktur entlang der Hohlraumfront; und Entfernen aller verbleibenden Teile des Hybridsiliciumvollsubstrats und der SiGe-Opferschicht auf der Hybridsiliciumschicht, um die DBBG-SOI-Hybridwafer-Struktur zu definieren, wobei die erste Oxidschicht und die Ätzstoppschicht eine obere BOX umfassen, die verbundenen zweiten und dritten Oxidschichten zusammen eine untere BOX umfassen, die Hybridsiliciumschicht eine SOI-Hybridschicht umfasst, die Rückgate-Schicht zwischen der oberen BOX und der unteren BOX angeordnet ist und das Siliciumvollsubstrat ein Vollsubstrat der DBBG-SOI-Hybridwafer-Struktur umfasst.
  20. Verfahren nach Anspruch 19, das des Weiteren das Durchführen einer dritten Temperprozedur bei einer höheren Temperatur als bei der zweiten Temperprozedur umfasst, um die Oxid-an-Oxid-Bindung zwischen der zweiten und der dritten Oxidschicht weiter zu verstärken.
  21. Verfahren nach Anspruch 18, 19 oder 20, das des Weiteren Folgendes umfasst: Ausbilden einer Hartmaskenschicht über der DBBG-SOI-Hybridwafer-Struktur; Strukturieren der Hartmaskenschicht und Ätzen durch die SOI-Hybridschicht hindurch, um flache STI-Vertiefungen auf der Ebene des aktiven Bereichs auszubilden; Ausbilden einer Fotolackschicht und lithografisches Strukturieren der Fotolackschicht, um einen Teil von einer oder mehreren der STI-Vertiefungen auf der Ebene des aktiven Bereichs selektiv freizulegen; Ätzen durch alle verbleibenden Abschnitte der oberen BOX-Schicht und durch die Rückgate-Schicht hindurch, wodurch eine oder mehrere tiefe STI-Vertiefungen auf der Rückgate-Ebene ausgebildet werden, von denen Abschnitte mit Abschnitten einer oder mehrerer der flachen Vertiefungen auf der Ebene des aktiven Bereichs selbstausgerichtet sind; und Füllen sowohl der flachen STI-Vertiefungen im aktiven Bereich und der selbstausgerichteten tiefen STI-Vertiefungen auf der Rückgate-Ebene mit einem oder mehreren Isolationsmaterialien und das anschließende Planarisieren des einen oder der mehreren eingefüllten Isolationsmaterialien.
  22. Verfahren nach Anspruch 21, wobei das Ätzen der flachen STI-Vertiefungen auf der Ebene des aktiven Bereichs auf der in der oberen BOX-Schicht enthaltenen Ätzstoppschicht endet.
  23. Verfahren nach Anspruch 21, wobei das Ätzen der tiefen STI-Vertiefungen auf der Rückgate-Ebene auf der unteren BOX-Schicht endet.
  24. Verfahren nach Anspruch 21, das des Weiteren ein Ersetzen von Abschnitten mit einer (110) Kristallorientierung der SOI-Hybridschicht durch Abschnitte mit einer (110) Kristallorientierung eines anderen Halbleitermaterials umfasst.
  25. Verfahren nach Anspruch 24, wobei das Ersetzen des Weiteren Folgendes umfasst: selektives epitaktisches Aufwachsen einer (110) Silicium-Germanium-Ausgangsschicht über (110) Siliciumabschnitte der SOI-Hybridschicht; und Oxidieren der (110) Silicium-Germanium-Ausgangsschicht, um zu bewirken, dass Germaniumatome von dem anfänglichen (110) Silicium-Germanium hinunter in die (110) Siliciumabschnitte der SOI-Hybridschicht verlagert werden, wodurch die (110) Siliciumabschnitte der SOI-Hybridschicht in (110) Silicium-Germanium umgewandelt werden und die (110) Silicium-Germanium-Ausgangsschicht in ein abschließendes Oxid einer Siliciumschicht umgewandelt wird.
  26. Verfahren nach Anspruch 25, das des Weiteren das Entfernen des abschließenden Oxids einer Siliciumschicht umfasst.
DE112010004307.8T 2009-11-06 2010-11-03 Verfahren zum Ausbilden einer Halbleiterwaferstruktur für integrierte Schaltungseinheiten Expired - Fee Related DE112010004307B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/613,574 2009-11-06
US12/613,574 US8587063B2 (en) 2009-11-06 2009-11-06 Hybrid double box back gate silicon-on-insulator wafers with enhanced mobility channels
PCT/EP2010/066715 WO2011054852A1 (en) 2009-11-06 2010-11-03 Hybrid double box back gate silicon-on-insulator wafers with enhanced mobility channels

Publications (2)

Publication Number Publication Date
DE112010004307T5 true DE112010004307T5 (de) 2012-11-22
DE112010004307B4 DE112010004307B4 (de) 2017-08-03

Family

ID=43513861

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112010004307.8T Expired - Fee Related DE112010004307B4 (de) 2009-11-06 2010-11-03 Verfahren zum Ausbilden einer Halbleiterwaferstruktur für integrierte Schaltungseinheiten

Country Status (5)

Country Link
US (1) US8587063B2 (de)
CN (1) CN102598244B (de)
DE (1) DE112010004307B4 (de)
GB (1) GB2487307B (de)
WO (1) WO2011054852A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112011100159B4 (de) * 2010-03-16 2017-02-02 International Business Machines Corporation Einheit mit extrem dünnem SOI mit dünnem BOX und Metallrückgate

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9087741B2 (en) 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
FR2980636B1 (fr) 2011-09-22 2016-01-08 St Microelectronics Rousset Protection d'un dispositif electronique contre une attaque laser en face arriere, et support semiconducteur correspondant
JP5695535B2 (ja) 2011-09-27 2015-04-08 株式会社東芝 表示装置の製造方法
US20130175618A1 (en) 2012-01-05 2013-07-11 International Business Machines Corporation Finfet device
US8828851B2 (en) * 2012-02-01 2014-09-09 Stmicroeletronics, Inc. Method to enable the formation of silicon germanium channel of FDSOI devices for PFET threshold voltage engineering
US9105577B2 (en) 2012-02-16 2015-08-11 International Business Machines Corporation MOSFET with work function adjusted metal backgate
US9709740B2 (en) 2012-06-04 2017-07-18 Micron Technology, Inc. Method and structure providing optical isolation of a waveguide on a silicon-on-insulator substrate
US8815694B2 (en) 2012-12-03 2014-08-26 International Business Machines Corporation Inducing channel stress in semiconductor-on-insulator devices by base substrate oxidation
US10109492B2 (en) * 2013-02-25 2018-10-23 Globalfoundries Inc. Method of forming a high quality interfacial layer for a semiconductor device by performing a low temperature ALD process
US8946819B2 (en) * 2013-05-08 2015-02-03 Globalfoundries Singapore Pte. Ltd. Silicon-on-insulator integrated circuits with local oxidation of silicon and methods for fabricating the same
US9490161B2 (en) 2014-04-29 2016-11-08 International Business Machines Corporation Channel SiGe devices with multiple threshold voltages on hybrid oriented substrates, and methods of manufacturing same
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
US9564373B2 (en) * 2015-02-27 2017-02-07 International Business Machines Corporation Forming a CMOS with dual strained channels
US9633908B2 (en) * 2015-06-16 2017-04-25 International Business Machines Corporation Method for forming a semiconductor structure containing high mobility semiconductor channel materials
US9741620B2 (en) * 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9606291B2 (en) 2015-06-25 2017-03-28 Globalfoundries Inc. Multilevel waveguide structure
US9559120B2 (en) * 2015-07-02 2017-01-31 International Business Machines Corporation Porous silicon relaxation medium for dislocation free CMOS devices
US9741625B2 (en) * 2015-09-03 2017-08-22 Globalfoundries Inc. Method of forming a semiconductor device with STI structures on an SOI substrate
CN106653676B (zh) * 2015-11-03 2019-12-24 中芯国际集成电路制造(上海)有限公司 衬底结构、半导体器件以及制造方法
US10546929B2 (en) 2017-07-19 2020-01-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Optimized double-gate transistors and fabricating process
FR3069373A1 (fr) * 2017-07-19 2019-01-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistors double grilles optimises et procede de fabrication
US10658987B2 (en) * 2017-12-18 2020-05-19 Rambus Inc. Amplifier circuit for cryogenic applications
FR3083000A1 (fr) * 2018-06-21 2019-12-27 Soitec Substrat pour capteur d'image de type face avant et procede de fabrication d'un tel substrat
US10680065B2 (en) * 2018-08-01 2020-06-09 Globalfoundries Inc. Field-effect transistors with a grown silicon-germanium channel
US10903332B2 (en) * 2018-08-22 2021-01-26 International Business Machines Corporation Fully depleted SOI transistor with a buried ferroelectric layer in back-gate
FR3091010B1 (fr) * 2018-12-24 2020-12-04 Soitec Silicon On Insulator Structure de type semi-conducteur pour applications digitales et radiofréquences, et procédé de fabrication d’une telle structure
US11011411B2 (en) * 2019-03-22 2021-05-18 International Business Machines Corporation Semiconductor wafer having integrated circuits with bottom local interconnects
KR20220058042A (ko) 2020-10-30 2022-05-09 삼성전자주식회사 반도체 웨이퍼 및 그 제조 방법
EP4075493A1 (de) 2021-03-31 2022-10-19 Samsung Electronics Co., Ltd. Halbleiterbauelement
CN113471214B (zh) * 2021-05-18 2023-09-19 中国科学院微电子研究所 一种多层绝缘体上硅锗衬底结构及其制备方法和用途

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5374564A (en) 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2715502B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
JPH10223900A (ja) * 1996-12-03 1998-08-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6093623A (en) * 1998-08-04 2000-07-25 Micron Technology, Inc. Methods for making silicon-on-insulator structures
US6246094B1 (en) * 1998-10-20 2001-06-12 Winbond Electronics Corporation Buried shallow trench isolation and method for forming the same
US6228691B1 (en) * 1999-06-30 2001-05-08 Intel Corp. Silicon-on-insulator devices and method for producing the same
JP2001196566A (ja) * 2000-01-07 2001-07-19 Sony Corp 半導体基板およびその製造方法
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6576957B2 (en) * 2000-12-31 2003-06-10 Texas Instruments Incorporated Etch-stopped SOI back-gate contact
US6596570B2 (en) * 2001-06-06 2003-07-22 International Business Machines Corporation SOI device with reduced junction capacitance
US6566158B2 (en) * 2001-08-17 2003-05-20 Rosemount Aerospace Inc. Method of preparing a semiconductor using ion implantation in a SiC layer
US6870225B2 (en) * 2001-11-02 2005-03-22 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
US6849518B2 (en) * 2002-05-07 2005-02-01 Intel Corporation Dual trench isolation using single critical lithographic patterning
US7008857B2 (en) * 2002-08-26 2006-03-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Recycling a wafer comprising a buffer layer, after having separated a thin layer therefrom
US7410904B2 (en) * 2003-04-24 2008-08-12 Hewlett-Packard Development Company, L.P. Sensor produced using imprint lithography
US6927146B2 (en) * 2003-06-17 2005-08-09 Intel Corporation Chemical thinning of epitaxial silicon layer over buried oxide
US7018873B2 (en) * 2003-08-13 2006-03-28 International Business Machines Corporation Method of making a device threshold control of front-gate silicon-on-insulator MOSFET using a self-aligned back-gate
US7205185B2 (en) * 2003-09-15 2007-04-17 International Busniess Machines Corporation Self-aligned planar double-gate process by self-aligned oxidation
US7718231B2 (en) * 2003-09-30 2010-05-18 International Business Machines Corporation Thin buried oxides by low-dose oxygen implantation into modified silicon
US20050275018A1 (en) 2004-06-10 2005-12-15 Suresh Venkatesan Semiconductor device with multiple semiconductor layers
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US7235812B2 (en) * 2004-09-13 2007-06-26 International Business Machines Corporation Method of creating defect free high Ge content (>25%) SiGe-on-insulator (SGOI) substrates using wafer bonding techniques
US7179719B2 (en) * 2004-09-28 2007-02-20 Sharp Laboratories Of America, Inc. System and method for hydrogen exfoliation
US7274073B2 (en) * 2004-10-08 2007-09-25 International Business Machines Corporation Integrated circuit with bulk and SOI devices connected with an epitaxial region
DE102004054564B4 (de) * 2004-11-11 2008-11-27 Siltronic Ag Halbleitersubstrat und Verfahren zu dessen Herstellung
US7271043B2 (en) * 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7282425B2 (en) * 2005-01-31 2007-10-16 International Business Machines Corporation Structure and method of integrating compound and elemental semiconductors for high-performance CMOS
US7335932B2 (en) * 2005-04-14 2008-02-26 International Business Machines Corporation Planar dual-gate field effect transistors (FETs)
US7605429B2 (en) * 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7102166B1 (en) * 2005-04-21 2006-09-05 International Business Machines Corporation Hybrid orientation field effect transistors (FETs)
US7439108B2 (en) * 2005-06-16 2008-10-21 International Business Machines Corporation Coplanar silicon-on-insulator (SOI) regions of different crystal orientations and methods of making the same
KR100655437B1 (ko) * 2005-08-09 2006-12-08 삼성전자주식회사 반도체 웨이퍼 및 그 제조방법
US7250656B2 (en) * 2005-08-19 2007-07-31 International Business Machines Corporation Hybrid-orientation technology buried n-well design
WO2007030368A2 (en) 2005-09-07 2007-03-15 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators and their fabrication methods
US20080001183A1 (en) * 2005-10-28 2008-01-03 Ashok Kumar Kapoor Silicon-on-insulator (SOI) junction field effect transistor and method of manufacture
US7417288B2 (en) * 2005-12-19 2008-08-26 International Business Machines Corporation Substrate solution for back gate controlled SRAM with coexisting logic devices
US7732287B2 (en) * 2006-05-02 2010-06-08 Honeywell International Inc. Method of forming a body-tie
US7436006B2 (en) * 2006-05-19 2008-10-14 International Business Machines Corporation Hybrid strained orientated substrates and devices
US7439110B2 (en) * 2006-05-19 2008-10-21 International Business Machines Corporation Strained HOT (hybrid orientation technology) MOSFETs
US7659579B2 (en) * 2006-10-06 2010-02-09 International Business Machines Corporation FETS with self-aligned bodies and backgate holes
US8016941B2 (en) * 2007-02-05 2011-09-13 Infineon Technologies Ag Method and apparatus for manufacturing a semiconductor
FR2917235B1 (fr) 2007-06-06 2010-09-03 Soitec Silicon On Insulator Procede de realisation de composants hybrides.
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
JP5394043B2 (ja) * 2007-11-19 2014-01-22 株式会社半導体エネルギー研究所 半導体基板及びそれを用いた半導体装置、並びにそれらの作製方法
WO2009128776A1 (en) 2008-04-15 2009-10-22 Vallin Oerjan Hybrid wafers with hybrid-oriented layer
US20100176482A1 (en) * 2009-01-12 2010-07-15 International Business Machine Corporation Low cost fabrication of double box back gate silicon-on-insulator wafers with subsequent self aligned shallow trench isolation
US20100176495A1 (en) 2009-01-12 2010-07-15 International Business Machines Corporation Low cost fabrication of double box back gate silicon-on-insulator wafers
US7943445B2 (en) * 2009-02-19 2011-05-17 International Business Machines Corporation Asymmetric junction field effect transistor
US8008142B2 (en) * 2009-03-13 2011-08-30 International Business Machines Corporation Self-aligned Schottky diode
US8193616B2 (en) * 2009-06-29 2012-06-05 Kabushiki Kaisha Toshiba Semiconductor device on direct silicon bonded substrate with different layer thickness
US8193032B2 (en) * 2010-06-29 2012-06-05 International Business Machines Corporation Ultrathin spacer formation for carbon-based FET

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5374564A (en) 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"Strained SOI/SGOI Dual-channel CMOS Technology Based on the Ge Condensation Technique" Semicond. Sci. Technol. 22 (2007), Seiten S93-98, von Tezuka et al.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112011100159B4 (de) * 2010-03-16 2017-02-02 International Business Machines Corporation Einheit mit extrem dünnem SOI mit dünnem BOX und Metallrückgate

Also Published As

Publication number Publication date
GB2487307A (en) 2012-07-18
GB201200820D0 (en) 2012-02-29
US8587063B2 (en) 2013-11-19
WO2011054852A1 (en) 2011-05-12
US20110108943A1 (en) 2011-05-12
CN102598244A (zh) 2012-07-18
CN102598244B (zh) 2014-11-05
DE112010004307B4 (de) 2017-08-03
GB2487307B (en) 2014-02-12

Similar Documents

Publication Publication Date Title
DE112010004307B4 (de) Verfahren zum Ausbilden einer Halbleiterwaferstruktur für integrierte Schaltungseinheiten
DE102012206478B4 (de) Extrem-dünner-Halbleiter-auf-Isolator(ETSOI)-FET mit einem Rück-Gate und verringerter Parasitärkapazität sowie Verfahren zu dessen Herstellung
DE112012004824B4 (de) Verfahren und Struktur zum Bilden von ETSOI-Kondensatoren, -Dioden, -Widerständen und -Back-Gate-Kontakten
EP2657961B1 (de) Verfahren zur Herstellung eines Feldeffekttransistors mit lokaler Source-/Drainisolation
DE102014203524B4 (de) Verfahren zum Ausbilden von defektarmen Ersatzflossen für ein FinFET-Halbleiterbauelement sowie daraus resultierende Bauelemente
DE112005003123B4 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements mit mehreren gestapelten Schichten mit Hybridorientierung
DE112011106092B3 (de) Halbleiter, der durch elastische Kantenrelaxation eines Stressors in Kombination mit einer vergrabenen Isolierschicht verspannt wird
DE102014109807B4 (de) Kanal-Verspannungssteuerung für nichtplanare Verbindungshalbleitervorrichtungen
US5292670A (en) Sidewall doping technique for SOI transistors
DE102018200041B4 (de) Bildung von Diffusionsunterbrechung nach Bildung von Source/Drain
DE112011100326B4 (de) P-FET mit einem verspannten Nanodraht-Kanal und eingebetteten SiGe-Source- und Drain-Stressoren und Verfahren
US8877606B2 (en) Low cost fabrication of double box back gate silicon-on-insulator wafers with subsequent self aligned shallow trench isolation
US20070040235A1 (en) Dual trench isolation for CMOS with hybrid orientations
DE102008010110B4 (de) Verfahren zum Herstellen eines Halbleiterelements mit vergrabener Oxidschicht und einer Feldeffekttransistor-Anordnung mit vergrabener Oxidschicht
DE112006001169T5 (de) Verfahren zur Herstellung eines SOI-Bauelements
DE69936487T2 (de) SOI-Halbleiteranordnung und Verfahren zur Herstellung
DE102006062829B4 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE102014203629A1 (de) Integrierte Silizium-auf-Isolator-Schaltkreise mit lokaler Oxidation von Silizium und Verfahren zu ihrer Herstellung
DE102017124226A1 (de) Halbleitervorrichtung und ihr herstellungsverfahren
DE102004033148B4 (de) Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung zur Verwendung als Doppelgate-Feldeffekttransistor
US9349861B1 (en) Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
DE102004057764A1 (de) Technik zur Herstellung eines Substrats mit kristallinen Halbleitergebieten mit unterschiedlichen Eigenschaften, die über einem kristallinen Vollsubstrat angeordnet sind
DE102018208045B4 (de) Bildung von flachgrabenisolation ohne planarisierung und entsprechende struktur
WO2006005321A2 (de) Verfahren zum herstellen eines integrierten schaltkreises und substrat mit vergrabener schicht
WO1991013463A1 (de) Verfahren zum erzeugen einer isolierten, einkristallinen siliziuminsel

Legal Events

Date Code Title Description
R163 Identified publications notified
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE GBR, DE

Representative=s name: RICHARDT PATENTANWAELTE PART GMBB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee