DE112005002692B3 - Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen - Google Patents

Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen Download PDF

Info

Publication number
DE112005002692B3
DE112005002692B3 DE112005002692T DE112005002692T DE112005002692B3 DE 112005002692 B3 DE112005002692 B3 DE 112005002692B3 DE 112005002692 T DE112005002692 T DE 112005002692T DE 112005002692 T DE112005002692 T DE 112005002692T DE 112005002692 B3 DE112005002692 B3 DE 112005002692B3
Authority
DE
Germany
Prior art keywords
ligand
pore
dielectric
sealing
polydentate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE112005002692T
Other languages
English (en)
Inventor
Frank Austin Weber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Application granted granted Critical
Publication of DE112005002692B3 publication Critical patent/DE112005002692B3/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Abstract

Verfahren zum Versiegeln von Poren in einem Kohlenstoff enthaltenden, porösen Low-k-Dielektrikum, wobei das Verfahren das Behandeln der Poren mit einem polydentaten Liganden zum Versiegeln der Poren umfasst,
wobei der polydentate, Poren versiegelnde Ligand ein bidentater Ligand ist, der der allgemeinen Formel X-CH2-(CH2)n-CH2-X entspricht; wobei n = 0–2; and wobei X NH2, Cl, Br, I, -OCH3, -O-SO2F oder Triflat ist, oder
wobei der polydentate, Poren versiegelnde Ligand ein bidentater Ligand ist, der der allgemeinen Formel X-Si(CH3)2-(CH2)n-Si(CH3)2-X entspricht; wobei n = 0–2;
und wobei X H, NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder
wobei der polydentate, Poren versiegelnde Ligand ein verzweigter, bidentater Ligand ist, der der allgemeinen Formel X-CH2-(CH2)m(CR1R2)(CH2)o-CH2-X entspricht; wobei 2 + m + o + 1 = n/2 ist; wobei R1 und R2 unabhängig voneinander H, Alkyl oder Aryl sind; und wobei X NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder...

Description

  • QUERVERWEIS ZU VERWANDTEN ANMELDUNGEN
  • Diese Anmeldung ist inhaltlich verwandt mit der ebenfalls anhängigen US-Anmeldung des gleichen Anmelders mit dem Titel „Repair of Carbon Depletion in Low-k Dielectric Films”, Seriennummer 10/927,899, eingereicht am 27. August 2004, Anwaltsaktenzeichen Nr. 2004 P 53264 US; wobei die Anmeldung hierin durch Verweis aufgenommen ist.
  • TECHNISCHES GEBIET
  • Diese Erfindung betrifft im Allgemeinen die Halbleitervorrichtungsherstellung und insbesondere die Ausbildung und Verarbeitung von low-k-dielektrischen Filmen.
  • HINTERGRUND
  • Wenn die Dichte von Halbleitervorrichtungen ansteigt und die Größe der Schaltkreiselemente kleiner wird, dominiert die Widerstandskapazität(RC)-Verzögerungszeit zunehmend die Leistung des Schaltkreises. Um die RC-Verzögerung zu vermindern, steigt die Anforderung an Zwischenverbindungsschichten zum Verbinden der Halbleitervorrichtungen. Daher ist es ein Wunsch, von herkömmlichen, Siliziumdioxid basierten Dielektrika auf Low-k-Dielektrika umzusteigen. Diese Materialien sind insbesondere als Zwischenmetalldielektrika, IMDs, und als Zwischenschichtdielektrika, ILDs, nützlich.
  • Ein Beispiel eines Low-k-Materials ist Fluor dotiertes Siliziumdioxid, oder Fluorsilikatglas (FSG). Ein anderes, weit verbreitet verwendetes Material ist ein Kohlenstoff dotiertes Oxid oder Organsilicatglas (OSG). OSG-Filme umfassen typischerweise SiwCxOyH2, wobei das vierwertige Silizium eine Vielzahl von organischen Substitutionsgruppen tragen kann. Eine weit verbreitete Substitution ergibt Methylsilsesquioxane (MSQ), wobei eine Methylgruppe eine SiCH3-Bindung anstelle einer SiO-Bindung erzeugt. Es gibt mehrere Ansätze, die im Stand der Technik bekannt sind, um die k-Werte von dielektrischen Filmen zu verringern. Diese schließen das Verringern der Filmdichte, das Verringern der Filmionisierung und das Verringern der Filmpolarisation ein.
  • Da Luft eine Dielektrizitätskonstante von ungefähr 1 besitzt, wird bei einem Verfahren zum Herstellen von Low-k-Dielektrika Luft in dichte Materialien eingebracht, um sie porös zu machen. Die Dielektrizitätskonstante des resultierenden porösen Materials ist eine Kombination der Dielektrizitätskonstante von Luft und der Dielektrizitätskonstante des dichten Materials. Es ist daher möglich, die Dielektrizitätskonstante von derzeitigen Low-k-Materialien zu verringern, indem diese porös gemacht werden.
  • Siliziumoxid basierte Xerogele und Aerogele schließen z. B. eine große Menge an Luft in Poren oder Hohlräumen ein, wodurch Dielektrizitätskonstanten von weniger als 1,95 mit Poren erzielt werden, die so klein wie 5 bis 10 Nanometer sind. Ein größerer Nachteil von Low-k-Dielektrika ist jedoch, dass sie anfällig für Beschädigungen durch Plasmaätz- und Veraschungsverfahren, die während der Herstellung der Vorrichtung verwendet werden, sind. Bei Ätz- und Veraschungsverfahren leiden Low-k-Materialien häufig an Kohlenstoffverarmung an der Oberfläche, die dem Plasma ausgesetzt ist. In bestimmten Ätz- und Veraschungsverfahren kann die Beschädigung auch in das Volumen reichen. Wenn in dem Dielektrikum eine offene Poren struktur vorliegt, können Fluide beim Läppen und Polieren und bei der Dünnfilmmetallisierung in Oberflächeporen eindringen und dadurch Korrosion, mechanische Zerstörung oder eine Erhöhung der Dielektrizitätskonstante bewirken. Die Porenbeschädigung kann auch bewirken, dass eine Oberfläche, die bevorzugt hydrophob ist, hydrophil wird.
  • Da das Bedürfnis erkannt wurde, offene Poren in der Nähe von Oberflächendielektrika zu verschließen bzw. zu versiegeln, wurden Verfahren entwickelt, um Filme auf dielektrischen Oberflächen abzuscheiden und dadurch die Poren zu versiegeln. Einige Methoden sind jedoch dahingehend problematisch, dass hoch anpassungsfähige Schichten tatsächlich in die Porenkavität eindringen können. In solchen Fällen macht selbst eine isolierende, porenversiegelnde Schicht den Vorteil des porösen Low-k-Materials durch Erhöhen seiner Dielektrizitätskonstante zunichte.
  • Demgemäß besteht ein Bedarf an effektiven Verfahren zum Versiegeln von Poren in Low-k-Dielektrika, insbesondere im Zusammenhang mit der Dual-Damascen-Metallisierung.
  • Zusätzlich zum Versiegeln von Poren besteht ein Bedarf, Schäden an ILDs zu reparieren, die durch Plasmaverarbeitung hervorgerufen wurden. Solche Plasmaverfahren schließen Ätzen, einschließlich Ätzen des Low-k-Films, Entfernen von Fotoresistmaskenmaterial und Abscheiden von Schichten mit plasmagestützten chemischen Gasphasenabscheidungs(PECVD)-Verfahren ein. Bei Ätz- und Veraschungsprozessen leiden Low-k-Materialien häufig an Kohlenstoffverarmung in der Oberfläche, die den Plasmen ausgesetzt wird. Bei bestimmten Ätz- und Veraschungsverfahren kann sich die Beschädigung auch in das Volumen ausdehnen. Durch nachfolgendes Aussetzen gegenüber Luft, oder selbst in einer Sauerstoff enthaltenden Asche, können diese beschädigten Oberflächen mit Feuchtigkeit reagieren, um Silanolgruppen (= Si-OH) an freien Si-Stellen auszubilden. Die Silanolgruppe ist im Stand der Technik dafür bekannt, die Dielektrizitätskonstante des low-k-dielektrischen Materials zu erhöhen. Es ist auch bekannt, dass das beschädigte low-k-dielektrische Material während des Aussetzens gegenüber nass-chemischen Reinigungsmitteln anfällig für chemische Angriffe ist, hauptsächlich denjenigen, die HF oder verwandte Verbindungen wie NH4F enthalten, was zu einer beträchtlichen Verringerung der kritischen Dimension (CD) von isolierenden Strukturen mit low-k-dielektrischen Filmen führt. Es wird angenommen, dass ähnliche Effekte in anderen low-k-dielektrischen Materialien mit Silizium-Kohlenwasserstoffbindungen, die zu Silanol umgewandelt werden, wenn sie oxidierenden oder reduzierenden Plasmen ausgesetzt werden, auftreten.
  • Daher benötigen Halbleiterhersteller ein Verfahren zum Beheben einer Kohlenstoffverarmung in Low-k-Dielektrika. Es wird auch ein Verfahren zum Versiegeln von Poren in porösen Low-k-Dielektrika benötigt.
  • Die DE 10 2005 040 325 A1 betrifft ein Verfahren zum Ausbessern von beschädigtem Low-k-dielektrischem Material (entspricht der o. g. US-Anmeldung 10/927,899 vom 27.08.2004).
  • Verfahren zur Reparatur und zur Wiederherstellung von beschädigtem dielektrischem Material und Schichten werden auch in der WO 2004/068555 A2 offenbart.
  • Ein Verfahren zur Versiegelung von porösen Strukturen für integrierte Schaltkreise wird in US Patent 6,759,325 B2 offenbart.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die der Erfindung zugrundeliegende Aufgabe wird gelöst durch Verfahren und Vorrichtungen gemäß den Patentansprüchen 1 bis 14.
  • Diese und andere Probleme werden im Allgemeinen durch bevorzugte Ausführungsformen der vorliegenden Erfindung, die ein Verfahren zum Reparieren von porösen low-k-dielektrischen Filmen, die an einer Porenbeschädigung und Kohlenstoffverarmung leiden, bereitstellt, umgangen oder gelöst und technische Vorteile werden im Allgemeinen durch diese erzielt.
  • Erfindungsgemäß wird ein polydentater, Poren versiegelnder Ligand verwendet, um Poren, die durch Plasmabearbeitung beschädigt wurden, zu versiegeln oder zu verschließen. Der Ligand ist bevorzugt ein bidentates Chelatisierungsmittel. In bevorzugten Ausführungsformen ist der polydentate Ligand ein verzweigter Ligand oder ein Ligand, der funktionelle Gruppen einschließt.
  • In anderen Ausführungsformen schließt das Porenversiegelungsverfahren ein zweistufiges Verfahren ein. Der erste Schritt schließt das Umsetzen des Liganden mit dem Low-k-Isolator ein. Dem ersten Schritt nachfolgend tritt in situ ein Ligandenquervernetzungsschritt auf.
  • In alternativen, bevorzugten Ausführungsformen kann ein Kohlenstoffverarmungsreparaturverfahren vorgenommen werden und/oder dem Porenversiegelungsligandenverfahren nachfolgen. Die Kohlenstoffverarmungsreparatur, die einen Zweischrittansatz umfasst, wandelt Silanol- oder Alkoholgruppen in eine Kohlenstoff enthaltende organische Gruppe um. Der erste Schritt schließt die Verwendung eines Halogenierungsmittels oder -reagenz ein, um die Silanolgruppe in ein Silicium halogenid umzuwandeln. Der zweite Schritt schließt die Verwendung eines Derivatisierungsreagenzes ein, das auch als Derivatisierungsreagenz oder Derivatisierungsmittel bezeichnet wird, um das Halogenid durch eine geeignete organische Gruppe zu ersetzen.
  • Im Vorangegangenen wurden eher breit die Eigenschaften und technischen Vorteile der vorliegenden Erfindung dargelegt, damit die ausführliche Beschreibung der Erfindung, die folgt, besser verstanden werden kann. Zusätzliche Eigenschaften und Vorteile der Erfindung werden hiernach beschrieben werden, die den Gegenstand der Ansprüche der Erfindung ausbilden. Es sollte durch den Fachmann anerkannt werden, dass die Konzeption und die speziellen Ausführungsformen, die offenbart sind, jederzeit als Basis verwendet werden können, um zu verändern oder andere Strukturen oder Verfahren zum Durchführen desselben Zweckes der vorliegenden Erfindung zu gestalten. Es sollte auch durch einen Fachfachmann vergegenwärtigt werden, dass solche äquivalenten Konstruktionen vom Gedanken und Geltungsbereich der Erfindung, wie er in den angehängten Ansprüchen dargelegt ist, nicht abweichen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung und deren Vorteilen wird nun auf die folgende Beschreibung verwiesen, die zusammen mit den begleitenden Zeichnungen genommen werden soll, in denen:
  • 1 bis 3 Querschnittsansichten sind, die die Herstellung einer beispielhaften Damascenstruktur gemäß bevorzugter Ausführungsformen der Erfindung darstellen;
  • 4A und 4B Querschnittsansichten sind, die ein Plasma beschädigtes Low-k-Dielektrikum zeigen;
  • 5A und 5B Querschnittsansichten sind, die eine bevorzugte Ausführungsform einer bidentaten Ligandenporenversiegelung eines Plasma beschädigten Low-k-Dielektrikums zeigen;
  • 6A bis 6C Querschnittsansichten sind, die eine Low-k-Dielektrikumsreparatur gemäß Ausführungsformen zeigen, die sterische Effekte, hydrophobe Effekt und in situ Quervernetzung einschließen;
  • 7A und 7B Querschnittsansichten sind, die eine Low-k-Dielektrikumsreparatur gemäß anderen Ausführungsformen zeigen, die sterische Effekte, hydrophobe Effekt und in situ Quervernetzung einschließen.
  • Gleichbedeutende Bezugszeichen und Symbole in unterschiedlichen Figuren beziehen sich im Allgemeinen auf gleichbedeutende Teile, wenn es nicht anderweitig angegeben ist. Die Figuren sind gezeichnet worden, um die relevanten Aspekte der bevorzugten Ausführungsformen klar darzustellen, und sind nicht notwendigerweise maßstabsgetreu gezeichnet.
  • AUSFÜHRLICHE BESCHREIBUNG DARSTELLENDER AUSFÜHRUNGSFORMEN
  • Die Herstellung und die Verwendung der derzeit bevorzugten Ausführungsformen wird im Detail unten diskutiert. Es sollte jedoch anerkannt werden, dass die vorliegende Erfindung viele anwendbare, erfinderische Konzepte bereitstellt, die in einer Vielzahl von speziellen Zusammenhängen angewandt werden können.
  • Erfindungsgemäß wird ein polydentater, Poren versiegelnder Ligand verwendet, um Poren, die durch Plasma oder andere Herstellungsverfahren beschädigt wurden, zu versiegeln oder zu verschließen. Obwohl die Liganden in der Chemie gut bekannt sind, mag die Terminologie denjenigen in der Halbleiterherstellung nicht vertraut sein. Demgemäß werden ein paar Begriffe kurz erläutert.
  • Liganden, die an lediglich eine einzige funktionelle Gruppe über eine Stelle binden, werden monodentat genannt. Einige Ligandmoleküle sind in der Lage, aufgrund der Tatsache an mehrere Stellen zu binden, dass sie freie Elektronenpaare an mehr als einem Atom besitzen. Diesen werden als polydentate Liganden bezeichnet. Bidentate Liganden, die an zwei Stellen binden, werden oft im Bereich der Chemie als chelatisierende Liganden oder als Chelatbildner bezeichnet. Ethylendiamintetraessigsäure (EDTA) ist ein Beispiel eines polydentaten Liganden, der in der Lage ist, über sechs Stellen zu binden. Bei der EDTA-Bindung trägt der Ligand üblicherweise alle Elektronen bei, um die Bindung auszubilden. Dies ist jedoch nicht immer der Fall. In einigen Situationen kann eine Elektronenverteilung zwischen dem Ligand und dem Substratmolekül auftreten. Solche Bindungen sind im Allgemeinen sehr stark und stabil. Polydentate Liganden neigen auch dazu, sehr stabil zu sein, da es notwendig ist, eine größere Anzahl an Bindungsstellen zu brechen, um sie zu entfernen. Wie hierin verwendet basiert die Nomenklatur, die zum Beschreiben eines polydentaten Liganden verwendet wird, auf der Zahl von Anhaftungspunkten an die dielektrische Schicht. Zum Beispiel bindet ein tridentater Ligand an drei Punkte. Beachte, dass ein tridentater Ligand eine Vielzahl von Nebengruppen oder Verzweigungen besitzen kann, die chemisch reaktiv sein können oder nicht, er besitzt jedoch lediglich drei Anhaftungspunkte an das Dielektrikum.
  • Die vorliegende Erfindung wird nun mit Verweis auf bevorzugte Ausführungsformen in einem speziellen Zusammenhang beschrieben werden, nämlich speziellen Schritten bei der Herstellung einer integrierten Schaltung, die eine mehrlagige Kupfermetallisierung umfasst, die mittels eines herkömmlichen Dualdamascenverfahrens ausgebildet wurde. Vollständige Details eines beispielhaften Dualdamascenherstellungsverfahrens werden in dem US Patent Nr. 6 521 542 von Armacost et al. bereitgestellt und werden hierin durch Verweis aufgenommen. Es wird angenommen, dass Ausführungsformen dieser Erfindung vorteilhaft sind, wenn sie in einem Damascenmetallisierungsverfahren verwendet werden. Es wird des Weiteren angenommen, dass hierin beschriebene Ausführungsformen andere Herstellungsschritte, die Low-k-Filme einschließen, die nicht speziell dargestellt sind, begünstigen werden. Daher sind spezielle Ausführungsformen, die diskutiert werden, lediglich für spezielle Wege illustrativ, um die Erfindung zu bewerkstelligen und zu verwenden, und beschränken den Geltungsbereich der Erfindung nicht.
  • Mit Verweis auf 1 ist ein Leiter 4 innerhalb eines Grabens angebracht, der in einer Low-k-Isolierschicht 2 gemäß eines Dualdamascenverfahrens ausgebildet wurde. Eine Siliciumnitrid(Si3N4)-Schicht 6 wird über dem Isolator 2 und über dem Leiter 4 abgeschieden, um als Ätzstopper für das nachfolgende Verarbeiten zu dienen, und um das Metall 4 vor der Oxidation gemäß dem Fachmann wohl bekannten Verfahren zu schützen. Eine Low-k-Zwischenschicht-Dielektrikumsschicht 8 wird über der Nitridschicht 6 abgeschieden. Die dielektrische Schicht 8 liegt über einem Halbleitersubstrat (nicht gezeigt), das beispielsweise Widerstände oder aktive Vorrichtungen, wie z. B. Transistoren und Dioden, unterhalb der dielektrischen Schicht 8 enthalten kann. Gemäß bevorzugten Ausführungsformen ist die Low-k-Zwischenschicht-Dielektrikumsschicht 8 bevorzugt Organosilicatglas (OSG). Das OSG 8 wird auf herkömmliche Art und Weise für solches Material, wie z. B. CVD, abgeschieden. In Abhängigkeit von der Anwendung kann diese Schicht 8 weniger als ungefähr 1 μm dick sein.
  • Ein Fachmann erkennt, dass eine dielektrische Deckschicht (nicht gezeigt) auf die Low-k-Isolierschicht 8 aufgebracht sein kann. Die dielektrische Deckschicht schützt die Low-k-Isolierschicht 8 vor den Ätzmaterialien, die verwendet werden, um Vias und Gräben auszubilden, und vor CMP nach der Metallabscheidung. Eine dielektrische Deckschicht kann Siliciumcarbid oder Titanaluminiumnirid, Titannitrid, Aluminiumnitrid, Tantalaluminid, Tantalaluminiumnitrid und ähnliche Materialien umfassen. Unter Verwendung herkömmlicher Verfahren wird die dielektrische Deckschicht üblicherweise durch ein Plasma basiertes Verfahren, wie z. B. Plasma gestützte chemische Gasphasenabscheidung (PECVD), ausgebildet.
  • Da diese Deckschichtabscheidung ein Plasma beinhaltet, wird die ausgesetzte oberste Oberfläche der Low-k-Isolierschicht 8 gegenüber dem Plasma ausgesetzt, und die MSQ-Spezies an der obersten Oberfläche oder, in Abhängigkeit von dem Verfahren, im Volumen werden beschädigt. Diese Plasmabeschädigung ist die gleiche, wie die oben beschriebene, indem Si-CH3-Bindung gebrochen werden und Silanol (Si-OH) ausgebildet wird. Die Plasmabeschädigung kann auch die Ausbildung von Silicium-Wasserstoff (Si-H)-Bindungen oder offenen Siliciumbindungen (dangling bonds) einschließen. Die Silicium-Wasserstoff oder offenen Siliciumbindungen werden üblicherweise durch anschließendes Aussetzen gegenüber Feuchtigkeit oder Luft zu Silanol umgewandelt.
  • Dem Plasma-PECVD nachfolgend kann eine Kohlenstoffverarmungsreparatur durchgeführt werden. Gemäß dieser Ausführungsform werden Gräben und Vias jedoch auch unter Verwendung von Plasma in die Isolierschicht 8 geätzt. Daher ist eine Kohlenstoffverarmungsreparatur zu diesem Zeitpunkt optional.
  • Mit Verweis auf 2 schreitet die Herstellung der integrierten Schaltkreisstruktur mit der Abscheidung und Anwendung eines Photoresists 14 fort. Die Photoresistschicht 14 kann auf die dielektrische Schicht 8 aufgeschleudert werden. Die Photoresistschicht 14 ist z. B. ein Standard-Tief-UV-Resistsystem mit einem ARC, wie z. B. AR3 (hergestellt durch Shipley, Marlborough, Mass.) oder DUV 30 (hergestellt durch Brewer Science, Rolla, Mo.), und ein Photoresist, wie z. B. JSR Resist, hergestellt durch JSR Microelectronics, Sunnyvale, Kalifornien). Der Photoresist 14 wird dann belichtet und entwickelt, um die Viastellen 16, die durch die Low-k-Isolierschicht 8 geätzt werden sollen, zu definieren.
  • Der Strukturierung der Photoresistschicht 14 nachfolgend wird die Low-k-Isolierschicht 8 geätzt, um Vias 21 durch herkömmliche Verfahren auszubilden, 3. Wie in 3 gezeigt, wird die photolithographische Strukturierung von Gräben, die in der low-k-dielektrischen Schicht 8 ausgebildet werden sollen, als nächstes durchgeführt. Eine Photoresistschicht 18 wird auf die low-k-dielektrische Schicht 8 aufgebracht. Nach der photolithographischen Belichtung und Entwicklung definieren die verbleibenden Teile der Photoresistschicht 18 die Gräbenstellen 17, die in die Isolierschicht 8 geätzt werden sollen. Wie beim Dualdamascenverfahren wohl bekannt ist, werden Gräben sowohl an Viasstellen, wie in 3 gezeigt, als auch anderswo in der oberflächlichen der Low-k-Isolierschicht 8, in welcher Kupferleiter entlang der Oberfläche der integrierten Schaltung laufen sollen, zwischen oder entfernt von den Viastellen, ausgebildet.
  • Dann wird das Plasmaätzen der Low-k-Isolierschicht 8 durchgeführt. Wegen der Unähnlichkeiten der Materialien zwischen der dielektrischen Deckschicht (nicht gezeigt) und der Isolierschicht 8 wird bevorzugt, dass ein Zweischrittätzen durchgeführt wird, wobei das erste Ätzen selektiv die dielektrische Deckschicht ätzt. Der zweite Ätzschritt, der die Gräben in der Isolierschicht 8 ausbildet, ist bevorzugt ein zeitlich festgelegter Plasmaätzschritt, um die breiteren Gräben in der Isolierschicht 8, bis zu einer gewünschten Grabentiefe auszubilden, wie in 4 gezeigt.
  • Zum Zwecke der Illustrierung werden chemische Reaktionen hierin als erster Schritt oder als zweiter Schritt bezeichnet, oder spezieller, wie z. B. als Halogenierungsschritt, bezeichnet. Ein Fachmann erkennt, dass solche Bezeichnungen tatsächlich eine Vielzahl von Schritten oder Unterschritten umfassen können. Zum Beispiel können Schritte oder Unterschritte die Destillation, Extraktion, Phasentrennung, Reinigung, Temperaturänderungen oder andere Beispiele, die im Bereich der Chemie wohl bekannt sind, einschließen. Des Weiteren kann ein Schritt die Verwendung mehrerer Reaktionsgefäße oder Behälter, Medien, Vorrichtungen oder Werkzeuge umfassen. Solche herkömmlichen Methoden können aus der Beschreibung zum Zwecke des Hervorhebens der neuen Ausführungsformen entfernt worden sein.
  • In alternativen Ausführungsformen wird das Ätzen der Deckschicht 6 so gesteuert, dass ein optionaler Überstand (nicht gezeigt) verbleibt, der den Leiter 4 bedeckt. Diese verbleibende Deckschicht dient dazu, den Leiter 4 während der nachfolgenden Reparaturschritte, die unten ausgeführt sind, zu schützen.
  • Die Photoresistschicht 18 kann durch Trockenentfernen (stripping) des Photoresists in einem Stripper, wie z. B. einem ASPEN ICP (induktiv gekoppeltes Plasma) oder einem Leistungsverbesserungsplattform(PEP)-System (hergestellt durch Mattson Technology Inc., Fremont, Kalifornien bzw. Gasonics, San Jose, Kalifornien) entfernt werden.
  • Mit Verweis auf 4B wird eine vergrößerte Ansicht der Viaseitenwand im Querschnitt gezeigt. Gemäß der dargestellten Ausführungsform umfasst das Low-k-Material 8 eine Vielzahl von Poren 30 innerhalb einer Matrix 8 aus Isoliermaterial. Das dargestellte Low-k-Material umfasst NanoglasTM, das von Honeywell Advanced Microelektronic Materials of Sunnyvale, Kalifornien kommerziell erzältlich ist. NanoglasTM besitzt eine Porosität von ungefähr 70% mit einer durchschnittlichen Porengröße von ungefähr 4 nm und es besitzt einen k-Wert von ungefähr 2,0. Zum Zwecke der Darstellung in 4B sind einige Poren mit ungefähr der durchschnittlichen Porengröße gezeigt, obwohl der Fachmann sofort erkennt, dass die Porengröße zufällig von der durchschnittlichen Porengröße abweichen wird, und dass die Porenorientierung relativ zueinander auch zufällig sein wird. Wie in 4B gezeigt, sind keine der Poren vollständig gefüllt. Eine Untermenge 34 der Vielzahl von Poren 30 ist an einer Oberfläche 32 der dielektrischen Schicht 8 angeordnet. Die Plasmabearbeitung, wie sie oben beschrieben wird, beschädigt solche Oberflächenporen 34 leicht. Demgemäß werden Oberflächenporen bevorzugt vor der weiteren Herstellung der Vorrichtung versiegelt oder verschlossen.
  • Erfindungsgemäß wird die Porenversiegelung oder -reparatur durchgeführt, um die Plasmabeschädigung, die in 5A dargestellt ist, zu reparieren. Diese Plasmabeschädigung ist die gleiche, wie die oben beschriebene, indem Oberflächen-Si-Bindungen gebrochen werden und Silanol (Si-OH) ausgebildet wird. Plasmabeschädigung kann auch die Ausbildung von Silicium-Wasserstoff(Si-H)-Bindungen oder offenen Siliciumbindungen beinhalten. Wie oben dargelegt, werden Silicium-Wasserstoff- und offene Siliciumbindungen üblicherweise bei dem Aussetzen gegenüber Feuchtigkeit in Silanol umgewandelt, was in 5A dargestellt ist.
  • Erfindungsgemäß, werden offene Oberflächenporen unter Verwendung eines Poren versiegelnden Liganden repariert. Erfindungsgemäß wird ein polydentater, Poren versiegelnder Ligand verwendet, um Poren, die durch Plasmabearbeitung beschädigt wurden, zu versiegeln oder zu verschließen. Der polydentate Ligand ist bevorzugt ein bidentater Ligand, 5B.
  • Polydentate Porenversiegelungsliganden besitzen mehrere Anhaftungspunkte an die low-k-dielektrische Schicht. viele herkömmliche dielektrische Behandlungen schließen Moleküle mit lediglich einer einzigen Anhaftungsstelle ein. Für diese Moleküle besteht eine größere Wahrscheinlichkeit, dass wie während der Hochtemperaturbearbeitungsschritte oder während anderer Schritte, wie z. B. Sputtern oder Reinigen, entfernt werden. Daher sieht diese Erfindung die Verwendung polydentater, Poren versiegelender Moleküle mit mehreren Anhaftungspunkten vor.
  • Der Poren versiegelnde Ligand kann ein Reagenz sein, das in einem getrennten System hergestellt wird und zu der Plasmareaktionskammer zugegeben wird. Oder das Poren versiegelnde Verfahren kann in einer getrennten Reaktionskammer stattfinden. In anderen Ausführungsformen kann der Poren versiegelnde Ligand in situ hergestellt werden, wo er ausgebildet wird und kurz danach mit der beschädigten dielektrischen Oberfläche reagiert. Das Reparaturverfahren mit Poren versiegelnden Liganden kann in einer einzigen chemischen Reaktion oder in einer mehrstufigen Reaktion auftreten.
  • Wie bereits beschrieben, führt die Plasmabeschädigung zum Brechen von Silicium-Kohlenstoffbindungen und deren Ersetzen nach dem Aussetzen gegenüber Luft oder Feuchtigkeit durch Silanolgruppen, die unerwünschterweise den k-Wert erhöhen. Daher wandeln bevorzugte Ausführungsformen die Silanolgruppe in eine funktionelle Gruppe mit geringerem k-Wert, wie z. B. Si-O-Si oder Si-C-C, um, oder bilden bevorzugter die ursprüngliche Si-C-Bindung, die vor der Beschädigung vorlag, erneut aus.
  • Kleine Moleküle sind aufgrund ihrer geringen sterischen Hinderung besser in der Lage, in die Poren einzudringen und die Poren innerhalb der Masse zu versiegeln. Im Gegensatz dazu reagieren große Moleküle am Eingang der Oberflächenporen, wodurch die Oberfläche versiegelt wird, verhindern jedoch die innere Porenreparatur. Demgemäß umfasst eine bevorzugte Ausführungsform ein Zweischrittverfahren, worin innere Poren als erstes mit kleinen Reparaturmolekülen behandelt werden und anschließend äußere Poren mit großen Molekülen behandelt werden.
  • In einer Ausführungsform ist der Poren versiegelende Ligand dargestellt durch die allgemeine Formel X-CH2-(CH2)n-CH2-X, wobei X eine Abgangsgruppe und n = 0–2 ist. Abgangsgruppen sind NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat (-O-SO2-CF3). Bei der Ausbildung von Si-O-Si oder Si-O-C wird üblicherweise HX ausgebildet. Alternative Ausführungsformen schließen größere Liganden ein, die im Allgemeinen durch die Formel X-Si(CH3)2-(CH2)n-Si(CH3)2-X (n = 0–2) dargestellt sind, worin X wiederum die Abgangsgruppe ist.
  • Wenn man berücksichtigt, dass die typische Kohlenstoff-Kohlenstoff-Bindungslänge in einem Alkan ungefähr 0,15 nun beträgt, kann man unmittelbar feststellen, dass X-CH2-CH2-X als Ligand zu kurz ist, um eine offene dielektrische Pore vollkommen zu überspannen, die mehrere Nanometer breit sein kann. In der Tat benötigt man ein geradkettiges Alkan mit 20+ Kohlenstoffatomen, um eine 3 nm Poren vollständig zu überspannen, d. h. X-(CH2)n-X mit n > 20. Es wird jedoch angenommen, dass es nicht notwendig ist, die offene Pore vollständig zu überspannen, da Poren versiegelnde Ergebnisse über sterische Wirkungen erzielt werden, wenn die Porenöffnung lediglich teilweise blockiert wird, z. B. mit X-CH2-CH2-X. In Anwendungen, in denen mehr Porenblockierung benötigt wird, sind Ausführungsformen angemessen, die hierin offenbart sind, die verzweigte oder sperrige Seitengruppen umfassen. Einige Poren versiegelnde Ergebnisse werden auch erhalten, wenn die Liganden das Innere der Poren beschichten.
  • Zusätzlich zur Porengröße ist die chemische Reaktivität ein anderer Faktor, der berücksichtigt werden muss, wenn große gegenüber kleinen Molekülen für Poren versiegelnde Anwendungen ausgewählt werden. Kleine Moleküle leiden mehr unter chemischen oder Plasmaangriffen aufgrund des Mangels an sterischer Hinderung. Auf der anderen Seite leiden große Moleküle aufgrund der thermischen Bewegung mehr unter der Temperatur. Diese Faktoren müssen im Licht der Zuverlässigkeit und Langzeitstabilität der fertigen Halbleitervorrichtung, die normalerweise eine erwartete Lebenszeit von zehn Jahren besitzt, ausgeglichen werden.
  • Alternative Ausführungsformen schließen einzelne oder mehrfach verzweigte, polydentate Liganden ein. Eine Ausführungsform von einfach verzweigten Liganden schließt Verbindungen ein, die im Allgemeinen durch X-CH2-(CH2)m(CR1R2)(CH2)o-CH2-X (2 + m + o + 1 = n/2) dargestellt sind, worin R1 und R2 unabhängig voneinander H, Alkyl oder Aryl sind. Verzweigte Liganden werden bevorzugt verwendet, wenn ein hoher Grad an sterischer Hinderung in dem Poren versiegelnden Reagenz benötigt wird. Ligandenverzweigung kann auch die chemische Reaktionskinetik verändern. Zum Beispiel kann ein hoch verzweigter Ligand über einen SN1 (nukleophile, monomolekulare Substitution)-Reaktionsmechanismus anstelle eines SN2 (nukleophile, bimolekulare Substitution) reagieren.
  • In noch einer anderen Ausführungsform umfasst der polydentate Ligand einen tridentaten Liganden. Ein tridentater Ligand wird durch die folgende allgemeine Formel dargestellt:
    X-CH2-(CH2)m(CXH)(CH2)o-CH2-X; oder
    X-Si(CH3)2-(CH2)m(CXH)(CH2)o-Si(CH3)2-X; oder
    X-Si(CH3)2-(CH2)m(SiXCH3)(CH2)o-Si(CH3)2-X,
    wobei X die Abgangsgruppe ist. Die Kettenlänge m ≈ o ≈ n kann durch Porengröße = (n + 2) × 1,22 Å angenähert werden. Alternative Ausführungsformen können des Weiteren einfache oder mehrfache Verzweigung, wie oben beschrieben, umfassen.
  • Weitere Ausführungsformen, die polydentate Liganden einschließen, werden durch die folgenden allgemeinen Formeln dargestellt. Ausführungsformen von polydentaten Liganden wären
    X-CH2-(CH2)m(CH(CH2)p-X)(CH2)o-CH2-X; oder
    X-Si(CH3)2-(CH2)m(CH(CH2)p-X)(CH2)o-Si(CH3)2-X; oder
    X-Si(CH3)2-(CH2)m(CH(CH2)p SiX(CH3)2)(CH2)o-Si(CH3)2-X wobei X die Abgangsgruppe ist, die verwendet werden kann. Die Kettenlänge m ≈ o ≈ p ≈ n/2 kann durch Porengröße = (n + 2) × 1,22 Å angenähert werden. Einfache oder mehrfache, nicht funktionale Verzweigung, ähnlich der oben beschriebenen, ist ebenfalls innerhalb des Geltungsbereichs dieser Ausführungsformen.
  • In den verzweigten polydentaten Ausführungsformen, die oben beschrieben sind, sind die Verzweigungsgruppen relativ unreaktiv im Vergleich zur dielektrisch anhaftenden Chelatisierungsgruppe. Zum Beispiel ist es weniger wahrscheinlich, dass eine geradkettige Kohlenwasserstoff – oder eine Arylgruppe reagiert, im Vergleich zu einer geeignet gewählten Abgangsgruppe X. Die primäre Funktion der nicht reaktiven Gruppen ist das sterische Blockieren der Pore. Bevorzugte Ausführungsformen dieser Klasse sind Liganden mit zwei Anhaftungspunkten an das Dielektrikum, plus ein oder mehrere nicht reaktive Zweige. In alternativen Ausführungsformen, die unten beschrieben sind, kann jedoch ein polydentater Ligand zusätzlich zu der Chelatisierungsgruppe, die an das Dielektrikum anhaftet, funktionelle oder chemisch reaktive, verzweigte Gruppen einschließen. Beispiele von chemisch reaktiven Gruppen schließen Alkohole, Halogenide oder Amine ein.
  • In anderen Ausführungsformen reagiert ein chemisch reaktiver, polydentater Ligand in einem zweistufigen, Poren versiegelnden Verfahren. Dieses Verfahren ist schematisch in den 6A6C dargestellt. 6A zeigt eine beschädigte Pore, die ein Silanol nahe der Porenöffnung besitzt, obwohl dieses Verfahren ebenfalls dann angewendet werden kann, wenn die Gruppe ein Alkohol ist. Im ersten Schritt wird der Ligand an das Dielektrikum angehaftet, wie in 6B gezeigt. Im zweiten Schritt, 6C, durchläuft der Ligand eine in situ chemische Reaktion, bevorzugt Quervernetzen. Diese Ausführungsformen schließen das Umsetzen von Molekülen X-CH2-(CH2)n-Y oder X-Si(CH3)2-(CH2)n-Y ein, worin X die Abgangsgruppe und Y eine andere funktionelle Gruppe ist. In diesen Ausführungsformen ist Y O-H (Alkohol), -NH2 (Amin), Amid oder Imid, die in situ, z. B. durch Autokondensation, verbunden werden können. Das Quervernetzungsprodukt, B, ist in 6C gezeigt. In Ausführungsformen, in denen Y OH ist, ist das Quervernetzungsprodukt z. B. ein Ether. Der Parameter n kann durch Porengröße = 2 × (n + 2) × 1,22 Å abgeschätzt werden.
  • In anderen bevorzugten Ausführungsformen sind die Liganden, die an das Substrat angehaftet sind, über ein Molekül verknüpft, wodurch die Struktur, die in 6C gezeigt ist, ausgebildet wird. In einem anderen Beispiel bildet das verknüpfende Molekül Formaldehyd ein Acetal.
  • In anderen Ausführungsformen kann das Porenversiegelungsverfahren mit dem Reaktionsprodukt, das in 6B gezeigt ist, wahlweise beendet werden. In dieser Ausführungsform wird die Pore durch sterische Hinderung oder hydrophobe Wechselwirkung, die durch Y erzeugt wird, blockiert. Beispiele hydrophober Gruppen schließen aliphatische oder perfluorierte Gruppen ein.
  • Andere Ausführungsformen, die in den 7A und 7B gezeigt sind, können zusätzliche Funktionalität einschließen, um mehrfache Quervernetzungen zu ermöglichen. Solch eine Ausführungsform, wie in den 7A und 7B gezeigt, schließt X-CH2-(CH2)m(CR1R2Y2)(CH2)o-CH2-Y1 (2 + m + o + 1 = n/2) ein, wobei Y1 und Y2 unabhängig voneinander O-H, -NH2, Amid, Imid oder ähnliche Gruppen einschließen können, die direkt oder nach der Modifikation verbunden oder kondensiert werden können. Eine andere Ausführungsform eines in situ Vernetzungsverfahrens schließt das Umsetzen von Molekülen X-CH2-(CH2)n-Y oder X-Si(CH3)2-(CH2)n-Y ein, wobei X die Abgangsgruppe ist. Die Kettenlänge n kann in einem großen Bereich variiert werden. Kleine n-Werte (n = 1–3) sind jedoch bevorzugt in Situationen, wenn die vollständige Sättigung der Oberfläche gewünscht ist. Wenn n >> 4 ist, wird die sterische Hinderung zwischen den angrenzenden Molekülen signifikant, wodurch eine vollständige Oberflächenbedeckung schwierig wird.
  • In anderen Ausführungsformen kann einzelnes oder mehrfaches, nicht funktionelles Verzweigen auftreten. Ein Beispiel eines einzigen, nicht funktionellen (nicht reaktiv oder inert) Verzweigens wäre X-CH2-(CH2)m(CR1R2)(CH2)o-CH2-Y (2 + m + o + 1 = n/2), wobei R H, Alkyl oder Aryl ist. Nicht funktionelles Verzweigen an der Atombindung zur X- oder Y-Gruppe ist aufgrund von sterischen Effekten weniger bevorzugt, könnte jedoch ein anderes Reaktionsschema (SN1 anstelle von SN2) ermöglichen.
  • Nach der Dielektrikums-Reparatur und dem Porenversiegeln gemäß der hierin beschriebenen Ausführungsformen dominieren üblicherweise hydrophobe, aliphatische Gruppen die Oberfläche. Dies ist vorteilhaft, da selbst Spuren von adsorbiertem Wasser den k-Wert des Dielektrikums erhöhen. Auf der anderen Seite kann dies Probleme bei Verfahren, wie z. B. linearem/Grenzflächensputtern, hervorrufen, da Schichten nicht so gut an dem hochhydrophoben Dielektrikum anhaften. Alternative Ausführungsformen beheben dieses Problem durch Zugeben einer dritten funktionellen Gruppe Z zu dem Liganden, der an das Volumen angehaftet wird, oder das Molekül B, wenn anwendbar, vor dem Binden an das Volumen. Z kann -O-H, -NH2, Amid, Imid, oder ähnliche Gruppen, die direkt oder nach der Veränderung verbunden oder kondensiert werden können, sein.
  • Alternative Ausführungsformen schließen des Weiteren die Verwendung von Schutzgruppen ein. Schutzgruppen sind im Bereich der Chemie wohl bekannt, insbesondere in mehrstufigen, organischen Synthesen. Oft reagiert ein Reagenz nicht nur mit der funktionellen Zielgruppe, sondern auch mit anderen funktionellen Gruppen, die in dem System vorliegen. Schutzgruppen reagieren reversibel mit der funktionellen Problemgruppe, wodurch sie gegenüber dem Reagenz inert gemacht wird, jedoch ermöglichen sie es immer noch, dass sie regeneriert werden, nachdem die gewünschte chemische Reaktion vervollständigt wurde. Ein übliches Beispiel der Verwendung einer Schutzgruppe schließt das Umwandeln einer -OH-Gruppe in einen Ether vor einer Grignard Synthese ein, wodurch verhindert wird, dass die OH-Gruppe mit dem hochbasischen Organometall reagiert. Andere Beispiele schließen Acetale oder Hemiacetale als geschützte Aldehyde oder Ketonen ein.
  • In noch einer anderen Ausführungsform können in einer Folge von chemischen Schritten mehrfache, Poren versiegelnde Liganden verwendet werden, wobei jeder einzigartige, Poren versiegelnde Eigenschaften besitzt. Zum Beispiel kann zuerst ein beschädigtes Dielektrikum mit kleinen, Poren reparierenden Molekülen behandelt werden. Als nächstes folgt ein kurzer Plasmaprozess. Diese erzeugt Oberflächensilanolgruppen, die die chemische Reaktivität der großen, Poren versiegelnden Moleküle erhöht. Danach folgt ein zweiter Poren versiegelnder Schritt, der sperrige, Oberflächen versiegelnde Moleküle verwendet. Schließlich kann in einer alternativen Ausführungsform eine dritte Behandlung mit kleinen, Poren reparierenden Molekülen folgen. Dieser dritte Schritt entfernt vorteilhafterweise unreagierte Oberflächensilanolgruppen.
  • Gemäß anderer Ausführungsformen kann eine Kohlenstoffverarmungsreparatur oder eine Derivatisierungsreaktion durchgeführt werden. Die Kohlenstoffverarmungsreparatur kann vor oder nach dem Poren versiegelnden Ligandenverfahren durchgeführt werden, jedoch bevorzugt davor. Diese Abfolge vermeidet vorteilhafterweise übermäßigen Einschluss von Reagenzien innerhalb der Poren 34, siehe z. B. 4B.
  • Die Details der Kohlenstoffverarmungsreparatur sind vollständig in der ebenfalls anhängigen und dem gleichen Anmelder zugeordneten US Anmeldung, die mit ”Repair of Carbon Depletion in Low-k Dielectric Films” betitelt ist, Serien Nr. 10/927,899, eingereicht am 27. August 2004, Anwaltsaktezeichen Nr. 2004 P 53264 US offenbart, so dass lediglich die Hauptpunkte hier zusammengefasst sind. In bevorzugten Ausführungsformen wandelt ein Zweischrittansatz Silanolgruppen in eine Kohlenstoff enthaltende, organische Gruppe um. Der erste Schritt schließt die Verwendung eines Halogenierungsreagenzes oder -agenses ein, um die Silanolgruppe in eine Siliciumhalogenidgruppe umzuwandeln. Der zweite Schritt schließt die Verwendung eines Derivatisierungsmittels ein, um das Halogenid durch eine geeignete organische Gruppe zu ersetzen. In einer bevorzugten Ausführungsform schließt das Halogenierungsmittel Thionylchlorid und das Derivatisierungsmittel eine organometallische Verbindung, bevorzugt Methyllithium, ein. In einer anderen Ausführungsform ist das Halogenierungsmittel ausgewählt aus der Gruppe, bestehend im Wesentlichen aus SO2Cl2 (Sulfurylchlorid) oder COCl2 (Carboxydichlorid bzw. Phosgen). In einer anderen Ausführungsform umfasst die organometallische Verbindung ein Grignard-Reagenz. In noch einer anderen Ausführungsform umfass die organometallische Verbindung eine organische Lithiumverbindung, RLi, wobei R ausgewählt ist aus einer Gruppe, bestehend im Wesentlichen aus Alkyl- oder Arylverbindungen. In einer anderen Ausführungsform ist die organometallische Verbindung Trimethylaluminium oder allgemeiner RARBRCAl, wobei RA-C unabhängig voneinander eine Alkyl- oder Arylgruppe einschließen können. Andere Ausführungsformen können nicht organometallische Derivatisierungsverbindungen einschließen, die im Allgemeinen durch RARBRCC(RDX) oder RARBRCSi(RDX) dargestellt sind, wobei RA-C unabhängig voneinander eine Alkylgruppe oder Wasserstoff einschließen können, RD unabhängig eine Alkylgruppe einschließen kann und X Br, I, R, O-R (R = Alkyl), Fluorsulfonat (-O-SO2-F) oder Triflat (-O-SO2CF3) einschließt.
  • Andere Ausführungsformen können nicht organometallische Derivatisierungsverbindungen einschließen, die im Allgemeinen durch RARBC=CHRC dargestellt sind, wobei RA-C unabhängig voneinander eine Alkylgruppe oder Wasserstoff einschließen können. In einer noch anderen Ausführungsform können Derivatisierungsreaktionen low-k-dielektrische Filme erzeugen, eher als sie zu reparieren. Zum Beispiel kann poröses Siliciumoxid, das normalerweise nicht als Low-k-Dielektrikum betrachtet wird, mit einem Derivatisierungsmittel behandelt werden, wodurch sein k-Wert auf ein geeignetes Niveau abgesenkt wird.
  • Nachfolgend nach dem Low-k-ILD-Porenversiegeln und/oder -reparieren wird dann die Herstellung des Leiters gemäß herkömmlicher Verfahren (nicht gezeigt) durchgeführt. Gemäß dieser Ausführungsform der Erfindung wird eine Liner-Schicht in die Vias und Gräben abgeschieden. Die Liner-Schicht besteht üblicherweise aus einem schwerschmelzenden Metall, schwerschmelzendem Metallnitrid oder beiden. Ein Metall, bevorzugt Kupfer, wird dann in die Vias und Gräben abgeschieden. CMP planarisiert die Struktur, wodurch das Metall und die Liner-Schicht eben mit der Oberfläche der Isolatorschicht ausgebildet werden. Der Rest der Vorrichtungsherstellung, einschließlich der wiederholten Ausbildung von Low-k-Isolations-schichten, Via- und Grabenätzen und Metallabscheidung für zusätzliche Metallschichten, kann dann durchgeführt werden.
  • Obwohl die vorliegende Erfindung und ihre Vorteile detailliert beschrieben wurden, sollte es selbstverständlich sein, dass verschiedene Änderungen, Ersetzungen oder Veränderungen hierin vorgenommen werden können, ohne vom Gedanken und Geltungsbereich der Erfindung, wie sie durch die angehängten Ansprüche definiert ist, abzuweichen. Zum Beispiel wird es für einen Fachmann sofort offensichtlich, dass Materialien und Verfahren verändert werden können, während man innerhalb des Geltungsbereichs der vorliegenden Erfindung verbleibt.
  • Es sollte auch anerkannt werden, dass die vorliegende Erfindung viele anwendbare, erfinderische Konzepte neben den speziellen Kontexten, die zum Darstellen der bevorzugten Ausführungsformen verwendet wurden, bereitstellt. Zum Beispiel ist die Erfindung nicht nur auf die dielektrische Porenversiegelung oder -reparatur beschränkt, obwohl die Darstellungen die Reparatur eines low-k-dielektrischen Films einschließen. Zum Beispiel wird poröses Siliciumoxid normalerweise nicht als ein low-k-dielektrisches Material angesehen. Poröses Siliciumoxid kann jedoch als geschädigt gemäß Ausführungsformen dieser Erfindung behandelt werden. Daher umfassen Ausführungsformen, die hierin beschrieben sind, Verfahren, die für die Herstellung von Low-k-Dielektrika geeignet sind, und nicht nur zum Porenversiegeln oder -reparieren. Ein Verfahren kann die Abscheidung einer geeigneten Schicht oder eines Films, bevorzugt poröses Siliciumoxid, umfassen, und dann das Durchführen einer Behandlung, wie hierin beschrieben. Ausführungsformen hierin sind auch nützlich bei der Herstellung von Materialien mit kohlenstoffreichen, hydrophoben Oberflächen, wie z. B. Halbleitern oder bestimmte Optiken.
  • Darüber hinaus soll der Geltungsbereich der vorliegenden Erfindung nicht auf bestimmte Ausführungsformen des Verfahrens, der Maschine, der Herstellung, der Zusammensetzung von Materie, von Mitteln, Verfahren und Schritten, die in dieser Anmeldung beschrieben sind, beschränkt sein. Ein Fachmann wird sofort aus der Offenbarung der vorliegenden Erfindung, Verfahren, Maschinen, Herstellung, Zusammensetzung von Materie, Mittel, Methoden oder Schritte, die derzeit existieren oder später entwickelt werden, erschließen, die im Wesentlichen die gleiche Funktion durchführen oder im Wesentlichen das gleiche Ergebnis wie die entsprechenden Ausführungsformen, die hierin beschrieben sind, erzielen, die gemäß der vorliegenden Erfindung verwendet werden können. Demgemäß sollen die angehängten Ansprüche innerhalb ihres Geltungsbereichs solche Verfahren, Maschinen, Herstellung, Zusammensetzung von Materie, Mittel, Methoden oder Schritte einschließen.

Claims (14)

  1. Verfahren zum Versiegeln von Poren in einem Kohlenstoff enthaltenden, porösen Low-k-Dielektrikum, wobei das Verfahren das Behandeln der Poren mit einem polydentaten Liganden zum Versiegeln der Poren umfasst, wobei der polydentate, Poren versiegelnde Ligand ein bidentater Ligand ist, der der allgemeinen Formel X-CH2-(CH2)n-CH2-X entspricht; wobei n = 0–2; and wobei X NH2, Cl, Br, I, -OCH3, -O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand ein bidentater Ligand ist, der der allgemeinen Formel X-Si(CH3)2-(CH2)n-Si(CH3)2-X entspricht; wobei n = 0–2; und wobei X H, NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand ein verzweigter, bidentater Ligand ist, der der allgemeinen Formel X-CH2-(CH2)m(CR1R2)(CH2)o-CH2-X entspricht; wobei 2 + m + o + 1 = n/2 ist; wobei R1 und R2 unabhängig voneinander H, Alkyl oder Aryl sind; und wobei X NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand ein tridentater Ligand ist, der der allgemeinen Formel X-CH2-(CH2)m(CXH)(CH2)o-CH2-X entspricht; wobei m ≈ o ≈ n; und wobei X NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand ein tridentater Ligand ist, der der allgemeinen Formel X-Si(CH3)2-(CH2)m(CXH)(CH2)o-Si(CH3)2-X entspricht; wobei m ≈ o ≈ n; und wobei X H, NH2, Cl, Br, I, OCH3, -O- SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand ein tridentater Ligand ist, der der allgemeinen Formel X-Si(CH3)2-(CH2)m(SiXCH3)(CH2)o-Si(CH3)2-X entspricht; wobei m ≈ o ≈ n; und wobei X H, NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand der allgemeinen Formel X-CH2-(CH2)m(CH(CH2)p-X)(CH2)o-CH2-X entspricht; wobei m ≈ o ≈ p ≈ n/2 ist; wobei X NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand der allgemeinen Formel X-Si(CH3)2-(CH2)m(CH(CH2)p-X)(CH2)o-Si(CH3)2-X entspricht; wobei m ≈ o ≈ p ≈ n/2; wobei X H, NH2, Cl, Br, I, OCH3, O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand der allgemeinen Formel X-Si(CH3)2-(CH2)m(CH(CH2)pSiX(CH3)2)(CH2)o-Si(CH3)2-X entspricht; wobei m ≈ o ≈ p ≈ n/2; wobei X H, NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist, oder wobei der polydentate, Poren versiegelnde Ligand der allgemeinen Formel X-CH2-(CH2)n-Y entspricht; wobei n = 0–3; wobei X NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist; und wobei Y -O-H, NH2, Amid oder Imid ist, oder wobei der polydentate, Poren versiegelnde Ligand der allgemeinen Formel X-Si(CH3)2-(CH2)n-Y entspricht; wobei n = 0–3; wobei X H, NH2, Cl, Br, I, OCH3, -O-SO2F oder Triflat ist; und wobei Y -O-H, -NH2, Amid oder Imid ist.
  2. verfahren gemäß Anspruch 1, wobei das Umsetzen der Vielzahl offener Poren mit einem polydentaten, Poren versiegelnden Liganden die Schritte des Umsetzens des polydentaten, Poren versiegelnden Liganden mit dem Kohlenstoff enthaltenden, porösen Low-k-Dielektrikum und anschließend das Quervernetzen des polydentaten, Poren versiegelnden Liganden umfasst.
  3. Verfahren gemäß Anspruch 1, wobei das Kohlenstoff enthaltende, poröse Low-k-Dielektrikum ein Kohlenstoff dotiertes Oxid umfasst.
  4. Verfahren gemäß Anspruch 1, wobei das Kohlenstoff enthaltende, poröse Low-k-Dielektrikum poröses Siliciumoxid umfasst.
  5. Verfahren gemäß Anspruch 1, wobei das Kohlenstoff enthaltende, poröse Low-k-Dielektrikum Organosilicatglas (OSG) umfasst.
  6. Verfahren gemäß Anspruch 1, das des Weiteren umfasst: Ausbilden eines Siliciumhalogenids durch Umsetzen eines Silanols in dem Kohlenstoff enthaltenden, porösen Low-k-Dielektrikum mit einem Halogenierungsmittel; und Durchführen einer Derivatisierungsreaktion mit dem Siliciumhalogenid.
  7. Verfahren gemäß Anspruch 6, wobei das Halogenierungsmittel ausgewählt ist aus der Gruppe, bestehend aus Thionylchlorid, Sulfurylchlorid und Carboxydichlorid.
  8. Verfahren gemäß Anspruch 6, wobei die Derivatisierungsreaktion die Verwendung einer organometallischen Verbindung einschließt.
  9. Verfahren gemäß Anspruch 8, wobei die organometallische Verbindung ausgewählt ist aus der Gruppe, bestehend aus einem Grignard-Reagenz, Lithiumdialkylkupfer, Trimethylaluminium und Methyllithium.
  10. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Ausbilden einer aktiven Vorrichtung auf einem Substrat; Abscheiden eines Kohlenstoff enthaltenden, porösen Low-k-Dielektrikums über der aktiven Vorrichtung; Aussetzen des Dielektrikums gegenüber einem Plasma; Versiegeln einer Vielzahl offener Poren in dem Kohlenstoff enthaltenden, porösen Low-k-Dielektrikum durch ein Verfahren gemäß einem der vorangegangenen Ansprüche.
  11. Halbleitervorrichtung, umfassend: ein Kohlenstoff enthaltendes, poröses Low-k-Dielektrikum auf einer aktiven Vorrichtung; wobei ein polydentater Ligand, wie er in Anspruch 1 definiert ist, Poren in dem Dielektrikum verschließt.
  12. Halbleitervorrichtung gemäß Anspruch 11, wobei das Kohlenstoff enthaltende, poröse Low-k-Dielektrikum ein Kohlenstoff dotiertes Oxid umfasst.
  13. Halbleitervorrichtung gemäß Anspruch 11, wobei das Kohlenstoff enthaltende, poröse Low-k-Dielektrikum ein poröses Siliciumoxid umfasst.
  14. Halbleitervorrichtung gemäß Anspruch 11, wobei das Kohlenstoff enthaltende, poröse Low-k-Dielektrikum Organosilicatglas (OSG) umfasst.
DE112005002692T 2004-11-01 2005-11-02 Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen Expired - Fee Related DE112005002692B3 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/978,540 US7163900B2 (en) 2004-11-01 2004-11-01 Using polydentate ligands for sealing pores in low-k dielectrics
US10/978,540 2004-11-01
PCT/EP2005/011695 WO2006048241A1 (en) 2004-11-01 2005-11-02 Using polydentate ligands for sealing pores in low-k dielectrics

Publications (1)

Publication Number Publication Date
DE112005002692B3 true DE112005002692B3 (de) 2009-11-19

Family

ID=35840580

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112005002692T Expired - Fee Related DE112005002692B3 (de) 2004-11-01 2005-11-02 Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen

Country Status (3)

Country Link
US (1) US7163900B2 (de)
DE (1) DE112005002692B3 (de)
WO (1) WO2006048241A1 (de)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
US20070007585A1 (en) * 2005-07-05 2007-01-11 Spansion Llc Memory device with improved data retention
US20070048981A1 (en) * 2005-09-01 2007-03-01 International Business Machines Corporation Method for protecting a semiconductor device from carbon depletion based damage
US8043959B2 (en) * 2006-04-21 2011-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a low-k dielectric layer with improved damage resistance and chemical integrity
US7422020B2 (en) * 2006-06-30 2008-09-09 Intel Corporation Aluminum incorporation in porous dielectric for improved mechanical properties of patterned dielectric
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
WO2004068555A2 (en) * 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films
DE102005040325A1 (de) * 2004-08-27 2006-05-04 Infineon Technologies Ag Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5143753A (en) * 1990-10-26 1992-09-01 Indiana University Foundation Suppression of electroosmosis with hydrolytically stable coatings
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
JP2001118842A (ja) 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
US6521542B1 (en) 2000-06-14 2003-02-18 International Business Machines Corp. Method for forming dual damascene structure
TW469570B (en) 2000-09-21 2001-12-21 United Microelectronics Corp Method for forming low-K material on the hydrophilic dielectric material and the structure thereof
US6350675B1 (en) 2000-10-12 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Integration of silicon-rich material in the self-aligned via approach of dual damascene interconnects
US6417118B1 (en) 2001-06-26 2002-07-09 United Microelectronics Corp. Method for improving the moisture absorption of porous low dielectric film
US6583067B2 (en) 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6838300B2 (en) 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US20040152296A1 (en) 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
WO2004068555A2 (en) * 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films
DE102005040325A1 (de) * 2004-08-27 2006-05-04 Infineon Technologies Ag Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen

Also Published As

Publication number Publication date
US20060094256A1 (en) 2006-05-04
WO2006048241A1 (en) 2006-05-11
US7163900B2 (en) 2007-01-16

Similar Documents

Publication Publication Date Title
DE112005002692B3 (de) Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen
DE112007000215B4 (de) Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum
DE102005040325B4 (de) Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen und damit erhältliche Halbleitervorrichtungen
DE102012111574B4 (de) Verfahren zum Ausbilden einer leitfähigen Dual-Damaszener-Kontaktstruktur undHerstellungsverfahren für eine Halbleitervorrichtung
DE60005874T2 (de) Herstellungsverfahren für einen porösen Siliziumoxid-Film
DE10154771B4 (de) Zusammensetzung auf Siliziumbasis, Film mit niedriger Dielektrizitätskonstante und Verfahren zu dessen Herstellung
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE10113110B4 (de) Filmmaterial und Film mit niedriger Dielektrizitätskonstante
DE19781956B4 (de) Verfahren zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat
DE10248272A1 (de) Halbleitervorrichtung und Verfahren für ihre Herstellung
DE102009010845B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
DE112010003844T5 (de) Verbindungsstruktur aus strukturierbarem Low-k-Dielektrikum mit Gradienten-Deckschichtund Herstellungsverfahren
DE102009023379B4 (de) Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE102014111780A1 (de) Leitende struktur und verfahren zum ausbilden
DE102019116924A1 (de) Dielektrische zwischenschicht
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
EP1516026B1 (de) Verfahren zum versiegeln poroser materialien bei der chipherstellung und verbindungen hierfur
DE102008035815A1 (de) Verbessern der strukturellen Integrität und Definieren kritischer Abmessungen von Metallisierungssystemen von Halbleiterbauelementen unter Anwendung von ALD-Techniken
DE102009023378B4 (de) Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
DE102004036753B4 (de) Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
DE112007003638T5 (de) Prozess zum Herstellen einer siliziumhaltigen Beschichtung, siliziumhaltige Beschichtung und Halbleitervorrichtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee