DE102005040325B4 - Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen und damit erhältliche Halbleitervorrichtungen - Google Patents

Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen und damit erhältliche Halbleitervorrichtungen Download PDF

Info

Publication number
DE102005040325B4
DE102005040325B4 DE102005040325A DE102005040325A DE102005040325B4 DE 102005040325 B4 DE102005040325 B4 DE 102005040325B4 DE 102005040325 A DE102005040325 A DE 102005040325A DE 102005040325 A DE102005040325 A DE 102005040325A DE 102005040325 B4 DE102005040325 B4 DE 102005040325B4
Authority
DE
Germany
Prior art keywords
low
compound
insulator
dielectric
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102005040325A
Other languages
English (en)
Other versions
DE102005040325A1 (de
Inventor
Frank Austin Weber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102005040325A1 publication Critical patent/DE102005040325A1/de
Application granted granted Critical
Publication of DE102005040325B4 publication Critical patent/DE102005040325B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Herstellung einer Halbleitervorrichtung mit den Schritten:
Ausbilden einer aktiven Vorrichtung auf einem Substrat;
Abscheiden eines Kohlenstoff enthaltenden low-k Isolators über der aktiven Vorrichtung;
Aussetzen des Isolators gegenüber Plasma;
Ausbilden eines Siliziumhalogenids durch Umsetzen eines Silanols in dem Isolator mit einem Halogenierungsmittel; und
Durchführen einer Derivatisierungsreaktion mit dem Siliziumhalogenid.

Description

  • TECHNISCHES GEBIET
  • Diese Erfindung betrifft im allgemeinen die Herstellung von Halbleitervorrichtungen und insbesondere die Ausbildung und Verarbeitung von dielektrischen Filmen.
  • HINTERGRUND
  • Ein Ziel der Herstellung von Halbleitervorrichtungen ist es, integrierte Schaltungen so klein wie möglich zu machen. Während die Vorrichtungen kleiner werden, werden low-k Dielektrika benötigt, um parasitäre Kapazität und die RC-Umschaltverzögerung zu vermindern. Diese Materialien sind insbesondere nützlich als Intermetalldielektrika, oder IMDs, und als Zwischenschichtdielektrika, oder ILDs.
  • Low-k dielektrische Materialien betreffen solche Isoliermaterialien, die eine dielektrische Konstante geringer als die von Siliziumdioxid oder weniger als ungefähr 4 besitzen. Ein Beispiel eines low-k Materials ist fluordotiertes Siliziumdioxide, oder Fluorsilikatglas (FSG). Ein anderes weithin verwendetes Material ist ein kohlenstoffdotiertes Oxid oder Organosilikatglas (OSG). OSG-Filme umfassen typischerweise SiWCXOYHZ, wobei das vierwertige Silizium eine Vielzahl von Substitutionen organischer Gruppen besitzen kann. Eine weithin verwendete Substitution erzeugt Methylsilsesquioxan (MSQ), worin eine Methylgruppe eine SiCH3-Bindung anstelle einer SiO-Bindung erzeugt.
  • Es gibt im Stand der Technik verschiedene Ansätze, um den k-Wert von dielektrischen Filmen zu vermindern. Diese schließen die Verminderung der Filmdichte, die Reduktion der Filmioni sierung und die Reduktion der Filmpolarisation ein. Verminderte Ionisierung und verminderte Polarisation sind ein gemeinsames Merkmal Kohlenstoff-enthaltender, low-k dielektrischer Filme. Zum Beispiel ist die Si-CH3-Bindung weniger polar als die Si-O-Bindung. Ihre Tendenz zu ionisieren ist ebenfalls geringer. Die Konstruktion der organischen Funktionalität in low-k Filmen ist ein wichtiges Werkzeug für die Optimierung der Eigenschaften dieser Materialien.
  • Ein hauptsächlicher Nachteil der low-k Dielektrika ist es, daß sie der Beschädigung beim Plasmaätzen oder bei Veraschungsverfahren, die bei der Vorrichtungsherstellung verwendet werden, unterworfen sind. Solche Plasmaverfahren schließen das Ätzen, einschließlich des Ätzens von low-k Filmen, das Entfernen von Photoresistmaskenmaterial und die Abscheidung von Schichten durch plasmaunterstützte, chemische Gasphasenabscheidungs(PECVD)-Verfahren ein. Bei Ätz- und Veraschungsverfahren leiden low-k Materialien häufig unter Kohlenstoffverarmung an der Oberfläche, die dem Plasma ausgesetzt ist. Bei bestimmten Ätz- und Veraschungsverfahren kann die Beschädigung auch bis in die Hauptmasse vordringen. Bei dem darauffolgenden Aussetzen an Luft reagieren diese beschädigten Oberflächen mit Feuchtigkeit, um Silanolgruppen (≡Si-OH) an freien Si-Stellen zu bilden, wenn diese Stellen nicht schon von Sauerstoff während des Ätz- oder Veraschungsverfahrens besetzt wurden. Die Silanolgruppe ist im Stand der Technik dafür bekannt, daß sie die dielektrische Konstante von low-k dielektrischem Material erhöht. Es ist auch bekannt, daß das beschädigte low-k dielektrische Material chemischen Angriffen während dem Aussetzen gegenüber naßchemischen Reinigungsmaterialien offen steht, was zu einer deutlichen Verringerung der kritischen Dimension (CD) von mit low-k dielektrischen Filmen isolierten Strukturen führt. Es wird angenommen, daß ähnliche Wirkungen in anderen low-k dielektrischen Materialien mit Siliziumkohlenwasserstoffbindungen auftreten, die zu Silanol umgewandelt wurden, wenn sie oxidierenden oder reduzierenden Plasmen ausgesetzt waren.
  • Da die Halbleiterhersteller das Bedürfnis festgestellt haben, diese Nachteile zu überwinden, haben sie Verfahren entwickelt, beschädigte low-k dielektrische Schichten auszubessern. Ein herkömmliches Ausbesserungsverfahren schließt das thermische Annealen von low-k dielektrischen Filmen ein. Das thermische Annealen wirft jedoch Bedenken bezüglich anderer Probleme wie zum Beispiel die thermisch induzierte Kupfermigration auf. Das thermische Annealen ist auch deshalb nicht bevorzugt, da es ökonomisch unvorteilhafte Verfahrenszeiten und Anlagenkosten benötigt. Schlußendlich sind plasmabeschädigte low-k dielektrische Filme, die gemäß herkömmlichen Verfahren annealt werden, für die erneute Adsorption von Feuchtigkeit und erneute Bildung von Silanol anfällig.
  • Ein anderer herkömmlicher Ansatz schließt die Behandlung der beschädigten Isolationsschicht mit einem Silylierungsmittel wie zum Beispiel Hexamethyldisilazan (HMDS) ein. Bei diesem Verfahren ersetzt eine Trimethylsilangruppe den Wasserstoff der Silanolgruppe.
  • Figure 00030001
  • Die Silylierung beseitigt wirksam die Silanol-funktionelle Gruppe. Sie leidet jedoch an der Beschränkung, lediglich in der Lage zu sein, Wasserstoff durch eine einzige Silylgruppe zu ersetzen, in diesem Beispiel eine Trimethylsilylgruppe. Dieser Mangel beschränkt die Fähigkeit eines IC-Herstellers, die Dichte, die Polarisation und Ionisierungseigenschaften low-k dielektrischer Filme zu manipulieren.
  • Halbleiterhersteller benötigen ein Verfahren zum Ausbessern der Kohlenstoffverarmung in low-k Dielektrika, die einer großen Gruppe organischer Verbindungen zugänglich ist.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Diese und andere Probleme werden allgemein gelöst oder umgangen und technische Vorteile werden im allgemeinen durch bevorzugte Ausführungsbeispiele der vorliegenden Erfindung erzielt, die ein Verfahren zum Ausbessern von low-k dielektrischen Filmen, die an Kohlenstoffverarmung leiden, bereitstellen, gemäß Ansprüchen 1 bis 11.
  • Erfindungsgemäß wandelt ein Zweischrittansatz Silanolgruppen in Kohlenstoff-enthaltende organische Gruppen um. Der erste Schritt schließt die Verwendung eines Halogenierungsreagenz oder Mittels ein, um die Silanolgruppe in ein Siliziumhalogenid umzuwandeln. Der zweite Schritt schließt die Verwendung eines Derivatisierungsreagenzes, auch als Derivatisierungsreagenz oder Derivatisierungsmittel bezeichnet, ein, um das Halogenid durch eine geeignete organische Gruppe zu ersetzen.
  • In einer bevorzugten Ausführungsform schließt das Halogenierungsmittel Thionylchlorid und das Derivatisierungsmittel Alkyllithium, bevorzugt Methyllithium ein.
  • In einer anderen Ausführungsform ist das Halogenierungsmittel ausgewählt aus der Gruppe, bestehend im wesentlichen aus SO2Cl2 (Sulfurylchlorid) oder COCl2 (Kohlenoxidchlorid).
  • In einer anderen Ausführungsform umfasst das Halogenierungsmittel Bromverbindungen oder Jodverbindungen oder Chlorverbindungen oder Mischungen davon.
  • In einer anderen Ausführungsform umfasst die organometallische Verbindung ein Grignard-Reagenz. In noch einer anderen Ausführungsform umfaßt die organometallische Verbindung eine organische Lithiumverbindung, RLi, worin R ausgewählt ist aus der Gruppe, im wesentlichen bestehend aus Alkyl- oder Arylverbindungen. In einer anderen Ausführungsform ist die organometallische Verbindung Trimethylaluminium oder allgemeiner RARBRCAl, worin RA-C unabhängig voneinander eine Alkyl- oder Arylgruppe einschließen können.
  • Auch können Derivatisierungsreaktionen low-k dielektrische Filme eher herstellen als reparieren. Zum Beispiel kann poröses Siliziumoxid, das normalerweise nicht als low-k Dielektrikum angesehen wird, mit einem Derivatisierungsmittel behandelt werden, wodurch der k-Wert auf ein geeignetes Niveau abgesenkt wird.
  • Das Vorangegangene hat die Eigenschaft und technischen Vorteile der vorliegenden Erfindung eher breit dargestellt, damit die folgende ausführliche Beschreibung der Erfindung besser verstanden werden kann. Zusätzliche Eigenschaften und Vorteile der Erfindung werden hierin beschrieben, die den Gegenstand der Ansprüche der Erfindung bilden. Der Fachmann sollte würdigen, daß die Konzeption und speziellen Ausfüh rungsbeispiele, die offenbart sind, leicht als eine Basis für die Veränderung oder das Ausgestalten anderer Strukturen oder Verfahren zum Durchführen derselben Zwecke der vorliegenden Erfindung verwendet werden können. Dem Fachmann sollte auch klar sein, daß solche gleichwertigen Konstruktionen vom Geist und Umfang der Erfindung nicht abweichen wie er in den angefügten Ansprüchen dargelegt ist.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung und deren Vorteile wird nun auf die folgenden Beschreibungen verwiesen, die in Verbindung mit den begleitenden Zeichnungen betrachtet werden sollen, in denen:
  • 1 bis 6 Querschnittsansichten sind, die die Herstellung einer Halbleitervorrichtung gemäß einer bevorzugten Ausführungsform der Erfindung darstellen.
  • Entsprechende Bezugszeichen und Symbole in unterschiedlichen Figuren bezeichnen im allgemeinen gleiche Teile, wenn es nicht anderweitig angegeben ist. Die Figuren sind gezeichnet, um die relevanten Aspekte der bevorzugten Ausführungsformen darzustellen, und sind nicht notwendigerweise maßstabsgetreu.
  • AUSFÜHRLICHE BESCHREIBUNG DER DARSTELLENDEN AUSFÜHRUNGSFORMEN
  • Die Herstellung und Verwendung der derzeit bevorzugten Ausführungsformen werden unten ausführlich beschrieben. Es sollte jedoch gewürdigt werden, daß die vorliegende Erfindung viele anwendbare erfinderische Konzepte bereitstellt, die in einer großen Vielzahl spezieller Zusammenhänge angewandt werden können.
  • Die vorliegende Erfindung wird nun mit Verweis auf bevorzugte Ausführungsformen in einem speziellen Zusammenhang beschrie ben werden, nämlich spezifische Schritte bei der Herstellung einer integrierten Schaltung, die eine mehrschichtige Kupfermetallisierung umfasst, die durch eine Dual-Damaszenverfahren hergestellt wurde. Vollständige Details eines beispielhaften Dual-Damaszenherstellungsverfahrens werden von dem US-Patent Nr. 6,521,542 von Armacost et al. bereitgestellt und werden hierin durch Verweis aufgenommen. Es wird angenommen, daß Ausführungsformen dieser Erfindung vorteilhaft sind, wenn sie bei Damaszenmetallisierungsverfahren verwendet werden. Es wird weiter angenommen, daß Ausführungsformen, die hierin beschrieben werden, andere Herstellungsstadien begünstigen, die low-k Filme involvieren und nicht speziell dargestellt sind. Daher sind die speziellen Ausführungsformen, die hier beschrieben sind, lediglich darstellend für spezielle Arten, um die Erfindung durchzuführen und zu verwenden, und den Geltungsbereich der Erfindung nicht beschränkend.
  • Wie hierin verwendet, bezieht sich Derivatisierung auf ein chemisches Verfahren zum Ersetzen einer oder mehrerer ausgewählter Untereinheiten einer chemischen Verbindung.
  • Mit Verweis auf 1 wird ein Leiter 4 innerhalb eines Grabens eingebracht, der in einer low-k Isolierschicht 2 gemäß einem Dual-Damaszenverfahren hergestellt wurde. Eine Siliziumnitrid(Si3N4)-Schicht 6 wird über dem Isolator 2 und über dem Leiter 4 abgeschieden, um als Ätzstop für die weitere Verarbeitung zu dienen und um das Metall 4 vor der Oxidation zu schützen, gemäß Verfahren, die dem Fachmann wohlbe kannt sind. Eine low-k dielektrische Zwischenschicht 8 wird über der Nitridschicht 6 abgeschieden. Die dielektrische Schicht 8 überzieht ein Halbleitersubstrat (nicht gezeigt), das zum Beispiel Widerstände oder aktive Vorrichtungen wie zum Beispiel Transistoren oder Dioden unterhalb der dielektrischen Schicht 8 enthalten kann. In Übereinstimmung mit bevorzugten Ausführungsbeispielen ist die low-k dielektrische Zwischenschicht 8 bevorzugt aus Organosilikatglas (OSG). Das OSG 8 wird in einer herkömmlichen Art und Weise für ein solches Material wie zum Beispiel durch CVD abgeschieden. In Abhängigkeit von der Anwendung kann diese Schicht 8 weniger als ungefähr 1 μm dick sein.
  • Ein Fachmann erkennt, daß eine dielektrische Deckschicht (nicht gezeigt) oberhalb der low-k Isolierschicht 8 aufgebracht sein kann. Die dielektrische Deckschicht schützt die low-k Isolierschicht 8 vor den Ätzmitteln, die verwendet werden, um Kontaktlöcher und Gräben zu bilden, und vor CMP nach der Metallabscheidung. Eine dielektrische Deckschicht kann Siliziumcarbid oder Titanaluminiumnitrid, Titannitrid, Aluminiumnitrid, Tantalaluminid, Tantalaluminiumnitrid und ähnliche Materialien umfassen. Unter Verwendung herkömmlicher Verfahren wird die dielektrische Deckschicht normalerweise durch ein plasmabasiertes Verfahren, wie zum Beispiel plasmaunterstützte chemische Gasphasenabscheidung (PECVD) gebildet.
  • Da diese Deckschichtabscheidung ein Plasma beinhaltet, wird die ungeschützte oberste Oberfläche der low-k Isolierschicht 8 dem Plasma ausgesetzt, und die MSQ-Spezies werden an dieser obersten Oberfläche oder in Abhängigkeit vom Verfahren im bulk beschädigt. Diese Plasmabeschädigung ist die gleiche wie die oben beschriebene, bei der Si-CH3 Bindungen gebrochen werden und Silanol (Si-OH) gebildet wird. Plasmabeschädigung kann auch die Bildung von Siliziumwasserstoff(Si-H)-Bindungen oder offenen Siliziumbindungen beinhalten. Die Siliziumwasserstoff- und die offenen Siliziumbindungen werden für gewöhnlich durch darauffolgendes Aussetzen gegenüber Feuchtigkeit zu Silanol umgewandelt.
  • Dem Plasma-PECVD nachfolgend kann eine Kohlenstoffabscheidungsausbesserung durchgeführt werden. Gemäß diesem Ausführungsbeispiel jedoch werden Gräben und Kontaktlöcher auch unter Verwendung von Plasma in die Isolierschicht 8 geätzt. Daher ist eine Kohlenstoffabscheidungsausbesserung zu diesem Zeitpunkt optional.
  • Mit Verweis auf 2 schreitet die Herstellung der integrierten Schaltungsstruktur mit der Abscheidung und Anwendung eines Photoresists 14 fort. Die Photoresistschicht 14 kann auf die dielektrische Schicht 8 aufgeschleudert werden. Die Photoresistschicht 14 ist zum Beispiel ein Standard-Tief-UV-Resistsystem mit einer ARC wie zum Beispiel AR3 (hergestellt durch Shipley, Marlborough, Mass., USA), oder DUV 30 (hergestellt durch Brewer Science, Rolla, Mo., USA) und ein Photoresist wie zum Beispiel JSR Resist (hergestellt durch JSR Microelectronics, Sunnyvale, Calif., USA). Der Photoresist 14 wird dann belichtet und entwickelt, um die Kontaktlochstellen 16 zu definieren, die durch die low-k Isolierschicht 8 zu ätzen sind.
  • Der Musterung des Photoresists 14 nachfolgend wird die low-k Isolierschicht 8 geätzt, um Kontaktlöcher 21 durch herkömmliche Verfahren zu bilden, siehe 3. Wie in 3 gezeigt, wird als nächstes die photolithographische Ausbildung von Mustern von Gräben, die in der low-k dielektrischen Schicht 8 gebildet werden sollen, als nächstes durchgeführt. Eine Photoresistschicht 18 wird auf der low-k dielektrischen Schicht 8 verteilt. Nach der photolithographischen Belichtung und Entwicklung definieren die verbleibenden Teile der Photoresistschicht 18 die Stellen der Gräben 17, die in die Isolierschicht 8 geätzt werden sollen. Wie beim Dual-Damaszenverfahren wohlbekannt ist, werden Gräben sowohl an Kontaktlochstellen, wie in 3 gezeigt, als auch anderswo an der Oberfläche der low-k Isolierschicht 8, an der Kupferleitungen entlang der Oberfläche der integrierten Schaltung entlanglaufen sollen, zwischen oder weg von Kontaktlochstellen gebildet.
  • Ein Plasmaätzen der low-k Isolierschicht 8 wird dann durchgeführt. Wegen der Unterschiede in den Materialien zwischen der dielektrischen Deckschicht (nicht gezeigt) und der Isolierschicht 8 wird bevorzugt, daß eine Zweischrittätzung durchgeführt wird, wobei die erste Ätzung selektiv die dielektrische Deckschicht ätzt. Der zweite Ätzschritt, der die Gräben in der Isolierschicht 8 ausbildet, ist bevorzugt eine zeitlich abgepaßte Plasmaätzung, um die breiteren Gräben in die Isolierschicht 8 bis zu einer gewünschten Grabentiefe auszubilden, wie in 4 gezeigt.
  • In alternativen Ausführungsformen wird das Ätzen der Deckschicht 6 so kontrolliert, daß ein optionaler Überstand (nicht gezeigt) verbleibt, um den Leiter 4 zu bedecken. Diese verbleibende Deckschicht dient dazu, den Leiter 4 während der nachfolgenden Ausbesserungsschritte, die unten detailliert dargestellt werden, zu schützen.
  • Die Photoresistschicht 18 kann durch Trockenstripping des Photoresists in einem Stripper, wie zum Beispiel einem ASPEN ICP (Induktiv Gekoppeltes Plasma) oder einem leistungsgesteigerten Plattform (PEP) System (hergestellt durch Mattson Technology Inc., Fremont, Calif. bzw. Gasonics, San Jose, Calif., USA) entfernt werden.
  • Wie oben ausführlich beschrieben, beschädigen die Plasmaherstellungsschritte die Oberfläche der Isolierschicht 8 wie auch unter gewissen Umständen den bulk. Gemäß dieser Ausführungsform kann eine Kohlenstoffabscheidungsausbesserung durchgeführt werden, um diese Plasmabeschädigung auszubessern, wie in 5 gezeigt.
  • Gemäß dieser Ausführungsform wird jetzt eine Kohlenstoffabscheidungsausbesserung oder Derivatisierungsreaktion durchgeführt, wie in 5 gezeigt. Erfindungsgemäß schließt das Ausbesserungsverfahren ein chemisches Zweischrittverfahren ein. Obwohl es in 5 nicht dargestellt ist, findet die Ausbesserung innerhalb der Poren poröser Dielektrika zusätzlich zur Oberfläche statt. Der erste Schritt des Ausbesserungsverfahrens schließt die Umwandlung der Silanolgruppe, ≡Si-OH, in ein Halogenid mit einem Halogenierungsmittel, bevorzugt Thionylchlorid, ein. In diesem Ausführungsbeispiel wird die Silanolgruppe daher zu ≡SiCl umgewandelt.
  • Anstelle von Thionylchlorid können andere Chlorierungsmittel wie zum Beispiel Sulfurylchlorid, SO2Cl2, oder Kohlenoxidchlorid, COCl2 verwendet werden. Thionylchlorid ist jedoch insbesondere bevorzugt, da es sehr leicht zu reinigen ist und bei Raumtemperatur eine Flüssigkeit ist. Kohlenoxidchlorid leidet an dem relativen Nachteil, daß es ein toxisches Gas ist. Anstelle der Verwendung von ausschließlich chlorbasierten Halogenierungsmitteln können Mittel verwendet werden, die Brom, Jod oder Mischungen davon enthalten.
  • Der zweite Schritt des Verfahrens, das in 5 dargestellt ist, umfasst das Ersetzen des Chlorids durch eine geeignete organische Gruppe, bevorzugt -CH3, wobei die MSQ Spezies wie in dem Stadium vor der Plasmabeschädigung zurückgebildet werden. Das bevorzugte Verfahren zur Ersetzung des Halogenids umfaßt das Kuppeln des Siliziumhalogenids mit einer organometallischen Verbindung, bevorzugt Methyllithium. Wegen des wasserabfangenden Charakters der ≡SiCl-Bindung folgt die zweite Umsetzung bevorzugt der ersten mit minimaler Möglichkeit des Feuchtigkeitskontaktes.
  • Die Chemie dieses Zweischrittausführungsbeispiels wird durch die folgenden zwei chemischen Reaktionen zusammengefasst. (bulk) ≡Si-OH + SOCl2 → (bulk) ≡Si-Cl + SO2 + HCl (Schritt 1) (bulk) ≡Si-Cl + CH3Li → (bulk) ≡Si-CH3 + LiCl (Schritt 2)
  • Bevorzugte chemische Reaktionsbedingungen für die Schritte 1 und 2 sind wie folgt zusammengefasst: Vor Schritt 1 wird das Silanol enthaltende Dielektrikum bei ungefähr 0,1333 Pa (10–3 Torr) bei ungefähr 150°C über ungefähr 0,5 bis 12 Stunden getrocknet. Destilliertes und entgastes Thionylchlorid wird mit dem Dielektrikum umgesetzt, während bei Raumtemperatur gerührt wird. Unreagiertes Thionylchlorid wird im Vakuum entfernt und das Substrat wird im Vakuum bei Raumtemperatur getrocknet. Die Reaktionskammer wird mit inertem, wasserfreiem Argon gespült. Das halogenierte Dielektrikum wird als nächstes mit 1,4 M Methyllithium in wasserfreiem Diethylether umgesetzt oder derivatisiert. Wasserfreie und anaerobe Bedingungen sind insbesondere für die Organometallumsetzung vorteilhaft. Sowohl die Halogenierung als auch die Methylierungsreaktionen können für ungefähr 0,5 bis ungefähr 24 Stunden fortschreiten; der Anmeldung glaubt jedoch, daß ungefähr 0,5 Stunden bevorzugt sind. Nach der Methylierung wird das Substrat mit N,N-Dimethylformamid, DMF, gewaschen und das Substrat wird bei 125°C im Vakuum getrocknet.
  • Bei alternativen Halogenierungsausführungsformen kann ein Überschuß an Thionylchlorid auf einem Wafer bei ungefähr 40°C in einer wasserfreien Stickstoffatmosphäre aufgeschleudert werden. Nach ungefähr 5 Minuten wird der Wafer durch Waschen mit Tetrahydrofuran, THF, gereinigt. In noch einer anderen Ausführungsform kann die Chlorierung die Behandlung des Dielektrikums mit ungefähr 10% Thionylchlorid in superkritischem CO2 gefolgt vom Waschen mit flüssigem CO2 umfassen.
  • Bei einer alternativen Methylierungsausführungsform kann eine 5%-ige Lösung von Methyllithium in wasserfreiem Diethylether auf das Dielektrikum in einer Argonatmosphäre aufgeschleudert werden. Nicht reagiertes Methyllithium kann durch Waschen mit Diethylether entfernt werden. Das LiCl-Reaktionsnebenprodukt wird bevorzugt durch Überführen des Reaktionsproduktes in eine andere Kammer und anschließendes Waschen mit einem polaren, aprotischen Lösungsmittel wie zum Beispiel DMF entfernt.
  • Zu darstellenden Zwecken werden chemische Reaktionen hierin als ein erster Schritt oder ein zweiter Schritt bezeichnet oder spezieller wie zum Beispiel als ein Halogenierungsschritt bezeichnet. Ein Fachmann erkennt, daß solche Bezeichnungen tatsächlich eine Vielzahl von Schritten oder Unterschritten umfassen kann. Zum Beispiel können Schritte oder Unterschritte Destillation, Extraktion, Phasentrennung, Reinigung, Temperaturänderungen oder andere im Bereich der Chemie wohlbekannte Beispiele einschließen. Des weiteren kann ein Schritt die Verwendung mehrerer Reaktionsgefäße oder -behälter, Medien, Vorrichtungen oder Werkzeuge umfassen. Solche herkömmlichen Methoden können aus der Beschreibung zu Zwecken des Hervorhebens neuer Ausführungsformen entfernt werden.
  • Andere Ausführungsformen können Lithiumorganometallverbindungen, die allgemein durch RLi, worin R eine Alkyl- oder Arylverbindung ist, dargestellt werden, einschließen. Noch eine andere Ausführungsform kann Isobutyllithium, (CH3)3CLi, einschließen. Andere Ausführungsformen können auch das Ersetzen der Lithiumorganometallverbindung durch ein entsprechendes Natriumanalogon umfassen. Zum Beispiel kann in einer Ausführungsform (CH3)3CLi durch (CH3)3CNa ersetzt werden. Noch eine andere Ausführungsform kann Aluminiumanaloga, bevorzugt Trimethylaluminium, (CH3)3Al, einschließen.
  • In bevorzugten Ausführungsformen, die das Derivatisierungsreagenz (CH3)3Al umfassen, schließen die Reaktionsbedingungen das Behandeln des Dielektrikums mit einem Dampf, der (CH3)3Al enthält, für weniger als ungefähr 10 Minuten ein. Der Behandlung nachfolgend wird nicht umgesetztes Reagenz und AlCl3-Reaktionsnebenprodukt durch Verdampfen bei ungefähr 130°C bei einem Druck von weniger als ungefähr 5 Torr entfernt.
  • In Ausführungsformen kann der Kupplungsschritt eine Vielzahl von Schritten umfassen. Zum Beispiel wird die Organometalllithiumverbindung in Abhängigkeit von der organischen Gruppe als erstes in eine Lithium/Kupferverbindung umgewandelt. Im Falle von Alkylgruppen wird als erstes ein Alkylhalogenid, RX, in einer wasserfreien Lösung mit elementarem Lithium umgesetzt, um Alkyllithium herzustellen. Als nächstes wird Kupfer(I)-Chlorid, CuX, zugegeben, wodurch Lithiumdialkylkupfer gebildet wird. Das Lithiumdialkylkupfer wird mit dem Siliziumhalogenid umgesetzt, wodurch ≡SiR gebildet wird.
  • Die Wahl des organometallischen Reagenzes ist wichtig, um die Dichte, die Polarisation und die Ionisationseigenschaften des low-k dielektrischen Films richtig zu optimieren. Zum Beispiel ist es auf dem Gebiet der Chemie bekannt, daß die Kupplung von Silylhalogeniden und organometallischen Verbindungen hochselektiv ist. Daher können andere Ausführungsformen die Verwendung eines Halogenierungsmittels einschließen, der Brom oder Jod umfaßt, mit dem Wissen, daß chemische Reaktivität im allgemeinen für die größeren Ionen abnimmt. Ausführungsformen können auch andere Klassen organometallischer Verbindung, wie zum Beispiel Grignard-Reagentien, RMgX, worin R bevorzugt Alkyl oder Aryl ist, einschließen.
  • Die organometallische Derivatisierungsreaktion wird bevorzugt in einem wasserfreien Lösungsmittel durchgeführt. Das wasserfreie Lösungsmittel kann wasserfreien Ethylether oder einen Kohlenwasserstoff oder THF einschließen. In anderen Ausführungsformen können die chemischen Reaktionen in festen, flüssigen, gasförmigen oder superkritischen Phasen oder Kombinationen davon stattfinden. Eine superkritische Phase kann zum Beispiel CO2 einschließen.
  • Die hierin beschriebenen Ausführungsformen sind besonders für die Ausbesserung von Kohlenstoffverarmung geeignet, worin Silanol-OH durch eine Alkylgruppe ersetzt wird. Dieser Grund ist zweifach. Als erstes sind Alkylgruppen insbesondere unpo lar und besitzen eine geringe Neigung zum Ionisieren, wodurch sie ihre Wirksamkeit zur Ausbildung von low-k Dielektrika erhöhen. Als zweites macht die geringe chemische Reaktivität von Alkanen diese insbesondere bevorzugt für organometallische Synthesen. Andere organische Gruppen wie zum Beispiel Aryl-, Alken-, Ketal- oder Acetalgruppen werden in anderen Ausführungsformen jedoch bevorzugt.
  • Die richtige Auswahl des Derivatisierungsreagenzes schließt das Gewichten vieler Faktoren ein. In bevorzugten Ausführungsformen werden Faktoren wie zum Beispiel sterische Wechselwirkungen (auch van-der-Waals-Wechselwirkung genannt), Bindungswinkeldeformation und Dipol-Dipol-Wechselwirkungen in Bezug auf den Grad des Schadens des Films als auch des Dielektrikums und der chemischen Eigenschaften abgewogen.
  • Im Anschluß an die low-k ILD Ausbesserung wird die Herstellung des Leiters dann durchgeführt, 6. Gemäß dieser Ausführungsform der Erfindung wird die Verbindungsschicht 22 in die Kontaktlöcher und Gräben abgeschieden. Die Verbindungsschicht 22 besteht üblicherweise aus hitzebeständigem Metall, hitzebeständigem Metallnitrid oder beidem. Das Metall 22 wird dann in die Kontaktlöcher und Gräben abgeschieden. Bevorzugt ist das Metall 24 Kupfer, in welchem Fall die Abscheidung durch Elektrobeschichten über einer Kupferkeimschicht, die durch PVD abgeschieden ist, durchgeführt wird. CMP planarisiert anschließend die Struktur, wodurch das Metall 24 und die Verbindungsschicht 22 bündig mit der Oberfläche des Isolatormaterials 8 werden. Der Rest der Vorrichtungsherstellung, einschließlich der wiederholten Ausbildung von low-k Isolationsschichten, Verbindungslöchern und Gräben etc. und Metallabscheidung für zusätzliche Metallniveaus kann dann durchgeführt werden.

Claims (12)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung mit den Schritten: Ausbilden einer aktiven Vorrichtung auf einem Substrat; Abscheiden eines Kohlenstoff enthaltenden low-k Isolators über der aktiven Vorrichtung; Aussetzen des Isolators gegenüber Plasma; Ausbilden eines Siliziumhalogenids durch Umsetzen eines Silanols in dem Isolator mit einem Halogenierungsmittel; und Durchführen einer Derivatisierungsreaktion mit dem Siliziumhalogenid.
  2. Verfahren gemäß Anspruch 1, wobei der low-k Isolator ein kohlenstoffdotiertes Oxid umfasst.
  3. Verfahren gemäß Anspruch 1 oder 2, wobei der low-k Isolator poröses Siliziumoxid umfasst.
  4. Verfahren gemäß einem oder mehreren der vorhergehenden Ansprüche, wobei der low-k Isolator Organosilikatglas umfasst.
  5. Verfahren gemäß einem oder mehreren der vorhergehenden Ansprüche, wobei das Halogenierungsmittel ausgewählt ist aus der Gruppe bestehend aus Thionylchlorid, Sulfurylchlorid und Kohlenoxidchlorid.
  6. Verfahren gemäß einem oder mehreren der Ansprüche 1 bis 4, wobei das Halogenierungsmittel ausgewählt ist aus der Gruppe bestehend aus einer Chlorverbindung, einer Bromverbindung und einer Jodverbindung oder Kombinationen davon.
  7. Verfahren gemäß einem oder mehreren der vorhergehenden Ansprüche, wobei die Derivatisierungsreaktion die Verwendung einer organometallischen Verbindung einschließt.
  8. Verfahren gemäß Anspruch 7, wobei die organometallische Verbindung eine Grignard-Verbindung ist.
  9. Verfahren gemäß Anspruch 7, wobei die organometallische Verbindung ausgewählt ist aus der Gruppe bestehend aus einer Alkyllithiumverbindung, einer Aryllithiumverbindung, einer Alkylnatriumverbindung, einer Arylnatriumverbindung, Lithiumdialkylkupfer und Trialkylaluminium.
  10. Verfahren gemäß Anspruch 9, wobei die organometallische Verbindung Trimethylaluminium ist.
  11. Verfahren gemäß Anspruch 9, wobei die organometallische Verbindung Methyllithium ist.
  12. Halbleitervorrichtung, erhältlich durch ein Verfahren gemäß einem oder mehreren der Ansprüche 1 bis 11.
DE102005040325A 2004-08-27 2005-08-25 Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen und damit erhältliche Halbleitervorrichtungen Expired - Fee Related DE102005040325B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/927,899 2004-08-27
US10/927,899 US7223704B2 (en) 2004-08-27 2004-08-27 Repair of carbon depletion in low-k dielectric films

Publications (2)

Publication Number Publication Date
DE102005040325A1 DE102005040325A1 (de) 2006-05-04
DE102005040325B4 true DE102005040325B4 (de) 2009-11-26

Family

ID=35943934

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005040325A Expired - Fee Related DE102005040325B4 (de) 2004-08-27 2005-08-25 Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen und damit erhältliche Halbleitervorrichtungen

Country Status (3)

Country Link
US (1) US7223704B2 (de)
CN (1) CN1741254B (de)
DE (1) DE102005040325B4 (de)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7163900B2 (en) * 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7972954B2 (en) * 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
SG174296A1 (en) 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
CN103426733A (zh) * 2012-05-17 2013-12-04 中芯国际集成电路制造(上海)有限公司 超低k介质层处理方法
CN105336663B (zh) * 2014-05-30 2018-11-16 中芯国际集成电路制造(上海)有限公司 金属互连结构的形成方法
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001621A2 (en) * 2000-06-23 2002-01-03 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6417118B1 (en) * 2001-06-26 2002-07-09 United Microelectronics Corp. Method for improving the moisture absorption of porous low dielectric film
WO2004068555A2 (en) * 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61210956A (ja) * 1985-03-15 1986-09-19 Cosmo Co Ltd クロマトグラフイ−用薄層棒
US4921321A (en) * 1989-04-27 1990-05-01 American Telephone And Telegraph Company Silicon network polymers
US5143753A (en) * 1990-10-26 1992-09-01 Indiana University Foundation Suppression of electroosmosis with hydrolytically stable coatings
DE4142327A1 (de) * 1991-12-20 1993-06-24 Wacker Chemie Gmbh Jodoniumsalze und verfahren zu deren herstellung
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6410149B1 (en) * 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
JP2001118842A (ja) * 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6521542B1 (en) * 2000-06-14 2003-02-18 International Business Machines Corp. Method for forming dual damascene structure
TW469570B (en) * 2000-09-21 2001-12-21 United Microelectronics Corp Method for forming low-K material on the hydrophilic dielectric material and the structure thereof
US6350675B1 (en) * 2000-10-12 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Integration of silicon-rich material in the self-aligned via approach of dual damascene interconnects
US6583067B2 (en) * 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP4246640B2 (ja) * 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US7074727B2 (en) * 2003-07-09 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving dielectric properties in low-k organosilicate dielectric material
US7037823B2 (en) * 2004-04-20 2006-05-02 Texas Instruments Incorporated Method to reduce silanol and improve barrier properties in low k dielectric ic interconnects

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001621A2 (en) * 2000-06-23 2002-01-03 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6417118B1 (en) * 2001-06-26 2002-07-09 United Microelectronics Corp. Method for improving the moisture absorption of porous low dielectric film
WO2004068555A2 (en) * 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films

Also Published As

Publication number Publication date
US20060046516A1 (en) 2006-03-02
CN1741254B (zh) 2011-04-06
DE102005040325A1 (de) 2006-05-04
US7223704B2 (en) 2007-05-29
CN1741254A (zh) 2006-03-01

Similar Documents

Publication Publication Date Title
DE102005040325B4 (de) Ausbesserung von Kohlenstoffverarmung in low-k dielektrischen Filmen und damit erhältliche Halbleitervorrichtungen
DE112005002692B3 (de) Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen
DE112007000215B4 (de) Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum
DE60005874T2 (de) Herstellungsverfahren für einen porösen Siliziumoxid-Film
DE10113110B4 (de) Filmmaterial und Film mit niedriger Dielektrizitätskonstante
US6168726B1 (en) Etching an oxidized organo-silane film
JP2697315B2 (ja) フッ素含有シリコン酸化膜の形成方法
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE3538328C2 (de)
DE102009023379B4 (de) Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE112007000643T5 (de) Zusammensetzung zum Ätzen eines Metallhartmaskenmaterials in der Halbleiterbearbeitung
CN102082114B (zh) 双大马士革结构的形成方法
JP2003504693A (ja) フォーミングガスプラズマを用いたフォトレジスト除去プロセス
DE112012004488T5 (de) Niederenergie-Ätzverfahren für eine stickstoffhaltige dielektrische Schicht
DE102018108893A1 (de) Dielektrikum mit niedrigem k-Wert und Prozesse ihrer Herstellung
US11043379B2 (en) Conformal carbon film deposition
TW200926294A (en) Method of plasma etching
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
US9177918B2 (en) Apparatus and methods for low k dielectric layers
US5924005A (en) Process for forming a semiconductor device
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE10238024A1 (de) Mikroelektronischer Prozess und Aufbau
DE102010040071A1 (de) Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102018126993A1 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE102009023378B4 (de) Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee