DE112007000215B4 - Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum - Google Patents

Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum Download PDF

Info

Publication number
DE112007000215B4
DE112007000215B4 DE112007000215.8T DE112007000215T DE112007000215B4 DE 112007000215 B4 DE112007000215 B4 DE 112007000215B4 DE 112007000215 T DE112007000215 T DE 112007000215T DE 112007000215 B4 DE112007000215 B4 DE 112007000215B4
Authority
DE
Germany
Prior art keywords
silicon
layer
dielectric
porous silicon
porous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE112007000215.8T
Other languages
English (en)
Other versions
DE112007000215T5 (de
Inventor
Frank Weber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE112007000215T5 publication Critical patent/DE112007000215T5/de
Application granted granted Critical
Publication of DE112007000215B4 publication Critical patent/DE112007000215B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02258Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by anodic treatment, e.g. anodic oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/958Passivation layer

Abstract

Die Ausführungsformen der Erfindung stellen eine Halbleitereinrichtung, welches ein Dielektrikum aufweist, durch sein Herstellungsverfahren bereit. Ein Herstellungsverfahren weist auf ein Bilden einer Siliziumschicht über einem Substrat, ein Bilden einer Öffnung durch die Siliziumschicht, ein Füllen der Öffnung mit einem Leiter und ein anodisches Ätzen der Siliziumschicht zum Erzeugen von porösem Silizium. Die Ausführungsformen weisen ferner das Passivieren des porösen Siliziums wie durch die Behandlung seiner Oberfläche mit einer organometallischen Verbindung auf. Weitere Ausführungsformen der Erfindung stellen eine Halbleitereinrichtung bereit, welche eine Schicht mit funktionalen Elementen sowie eine Verbindungsstruktur über der Schicht aufweist, wobei die Verbindungsstruktur ein poröses Silizium-Dielektrikum aufweist. In einer Ausführungsform der Erfindung weist die Verbindungsstruktur eine duale Damaszener-Verbindungsstruktur auf. Die Ausführungsformen weisen einen Passivierungsschritt nach dem Schritt der Oxidation des porösen Siliziums auf.

Description

  • Die Erfindung betrifft poröses Silizium-Dielektrikum.
  • Diese Erfindung betrifft im Allgemeinen die Herstellung von Halbleitereinrichtungen.
  • Durch die Erhöhung der Dichte von Halbleitereinrichtungen und die Verringerung der Größe von Schaltkreiselementen wird die Schaltkreisleistung zunehmend von der Widerstand-Kapazität-(RC – resistance capacitance)-Verzögerungszeit dominiert. Zur Verringerung der RC-Verzögerung steigen die Anforderungen an die Verbindungsschichten zum Verbinden der Halbleitereinrichtungen miteinander. Daher wird ein Wechsel von traditionellen Dielektrika auf Siliziumdioxidbasis zu Low-k-Dielektrika gewünscht. Diese Materialien sind insbesondere geeignet als Zwischenmetall-Dielektrika (IMDs – intermetal dielectrics) und als Zwischenschicht-Dielektrika (ILDs – interlayer dielectrics).
  • Ein Beispiel eines Low-k-Materials ist fluordotiertes Siliziumdioxid oder Fluorsilikatglas (FSG). Ein weiteres weit verbreitet verwendetes Material ist ein kohlenstoffdotiertes Oxid oder Organosilikatglas (OSG). OSG-Schichten weisen normalerweise SiwCxOyHz auf, wobei das tetravalente Silizium eine Vielzahl organischer Gruppensubstitutionen aufweisen kann. Eine häufig verwendete Substitution erzeugt Methylsilsesquioxan (MSQ), wobei eine Methylgruppe eine SiCH3-Bindung anstelle einer SiO-Bindung erzeugt. In der Technik sind mehrere Ansätze zur Verringerung des k-Wertes von Dielektrikumschichten bekannt. Dazu gehören die Verringerung der Schichtdichte, die Verringerung der Schichtionisierung und die Verringerung der Schichtpolarisierung.
  • Da Luft eine Dielektrizitätskonstante von etwa ”1” hat, wird gemäß einem Verfahren zur Herstellung von Low-k-Dielektrika Luft in dichte Materialien eingeschlossen, um diese porös zu machen. Die Dielektrizitätskonstante des so entstehenden porösen Materials ist die Kombination der Dielektrizitätskonstante von Luft und der Dielektrizitätskonstante des dichten Materials. Somit ist es möglich, die Dielektrizitätskonstante derzeitiger Low-k-Materialien zu senken, indem sie porös gemacht werden. Siliziumdioxid-basierte Xerogele und Aerogele zum Beispiel enthalten eine große Menge an Luft in Poren oder Hohlräumen, wodurch bei Porengrößen von gerade mal 5 bis 10 nm Dielektrizitätskonstanten von weniger als 1,95 erreicht werden.
  • Ein Hauptnachteil poröser Dielektrika ist jedoch, dass sie anfällig für Beschädigung durch Plasmaätzprozesse und Veraschungsprozesse sind, die bei der Herstellung von Halbleitereinrichtungen zum Einsatz kommen. Poröse Dielektrika sind auch weicher als herkömmliche Dielektrika, so dass sie während aggressiver Handhabungsoperationen wie chemisch-mechanischem Polieren leichter beschädigt werden. Beschädigte Dielektrika weisen häufig Oberflächenbrüche auf, durch welche Bearbeitungschemikalien oder Feuchtigkeit in das interne poröse Netzwerk eindringen können, wodurch Korrosion, mechanische Beschädigung oder eine Erhöhung der Dielektrizitätskonstante verursacht werden. Eine solche Beschädigung kann ohne ein direktes Erkennungsverfahren die Zuverlässigkeit verringern. Die Porenbeschädigung kann auch verursachen, dass eine Oberfläche, die vorzugsweise hydrophob ist, hydrophil wird, wodurch sich die Benetzbarkeit verschiedener Lösemittel verändern kann.
  • Angesichts derartiger Probleme besteht weiterhin Bedarf an Low-k-Dielektrika, die nicht nur eine poröse Struktur, sondern die auch die chemische und mechanische Stabilität aufweisen, um die rauen Herstellungsschritte zu überstehen.
  • In US 6,277,765 wird beschrieben, ein poröses Oxid von Silicium durch die Raumtemperatur-Oxidation von porösem Silicium herzustellen.
  • In US 2002/0022339 wird beschrieben, dass leitendes Silizium anodisch in einem Fluorwasserstoffsäure enthaltenden Elektrolyt geätzt wird, um das leitende Silizium in poröses Silizium umzuwandeln. Das poröse Silizium wird anschließend oxidiert, um poröses Siliziumoxid zu bilden.
  • In US 2004/0072436 wird beschrieben, Plasma-Ätzen einer Oberfläche eines dielektrischen Materials durchzuführen, wobei das Ätzen der Oberfläche eine organische Gruppe auf der Oberfläche des dielektrischen Materials aufbraucht, und die Oberfläche des dielektrischen Materials einem Silankupplungsmittel auszusetzten.
  • In US 2004/0018452 wird beschrieben, poröse Low-k-Dielektrikum-Filme zunächst mit einem Passivierungsverfahren zu behandeln, gefolgt von einem Reinigungslösungsverfahren.
  • Durch die bevorzugten Ausführungsformen der vorliegenden Erfindung, die ein Herstellungsverfahren bereitstellen, werden diese und weitere Probleme im Allgemeinen gelöst oder umgangen und es werden im Allgemeinen technische Vorteile erzielt.
  • Die Ausführungsformen der Erfindung stellen ein Herstellungsverfahren für eine Halbleitereinrichtung bereit.
  • Erfindungsgemäß wird ein Herstellungsverfahren für eine Halbleitereinrichtung gemäß dem Anspruch 1 bereitgestellt.
  • Im Vorhergehenden wurden die Merkmale und technischen Vorteile der vorliegenden Erfindung recht allgemein umrissen, damit die nun folgende detaillierte Beschreibung der Erfindung besser verstanden wird. Im Folgenden werden zusätzliche Merkmale und Vorteile der Erfindung beschrieben, die den Gegenstand der Ansprüche der Erfindung bilden.
  • Zum vollständigeren Verständnis der vorliegenden Erfindung und deren Vorteile sei nun auf die folgenden Beschreibungen in Verbindung mit den beigefügten Zeichnungen verwiesen, wobei:
  • 1 und 2 Querschnittsansichten sind, welche die Herstellung einer exemplarischen Damaszener-Struktur gemäß der Ausführungsformen der Erfindung veranschaulichen;
  • 3 eine Prinzipdarstellung einer Vorrichtung zum anodischen Oxidieren von Silizium ist; und
  • 4 eine Querschnittsansicht eines porösen Silizium-Dielektrikums gemäß bevorzugter Ausführungsformen der Erfindung ist.
  • Gleiche Zahlen und Symbole in den unterschiedlichen Figuren betreffen im Allgemeinen gleiche Teile, es sei denn, es ist etwas anderes angegeben. Die Figuren sind so gezeichnet, dass sie die relevanten Aspekte der bevorzugten Ausführungsformen klar veranschaulichen, und sie sind nicht notwendigerweise im Maßstab gezeichnet. Zur klareren Veranschaulichung bestimmter Ausführungsformen kann ein Buchstabe, der Variationen der gleichen Struktur, des Materials oder eines Prozessschrittes anzeigt, auf eine Figurennummer folgen.
  • Die Anfertigung, Einsatz und Fabrikation der derzeit bevorzugten Ausführungsformen werden im Folgenden detailliert diskutiert.
  • Im Folgenden sind exemplarische Materialien, Strukturen und Verfahren für die Herstellung einer Halbleitereinrichtung bereitgestellt, welche eine Damaszener-Verbindungsstruktur aufweist. Zum Beispiel können einige Schritte in einer unterschiedlichen Reihenfolge als veranschaulicht stattfinden.
  • Die vorliegende Erfindung wird nun in Bezug auf bevorzugte Ausführungsformen in einem spezifischen Kontext beschrieben, nämlich spezifische Schritte bei der Herstellung einer integrierten Schaltung, welche eine mehrschichtige Kupfermetallisierung aufweist, die mittels eines herkömmlichen Damaszener-Prozesses erzeugt wurde. Man glaubt, dass die Ausführungsformen dieser Erfindung vorteilhaft sind, wenn sie in einem Damaszener-Metallisierungsprozess verwendet werden.
  • Bezug nehmend auf 1, weist eine bevorzugte Ausführungsform der Verbindungsstruktur der Erfindung ein Substrat 101 auf, welches funktionale Elemente oder funktionale Einrichtungen wie beispielsweise Transistoren enthalten kann. Eine dielektrische Schicht 120, allgemein bekannt als ein Zwischenschichtdielektrikum (ILD – inter-level dielectric), bedeckt das Substrat 101. Eine Haftvermittlerschicht 110 kann zwischen dem Substrat 101 und der ILD-Schicht 120 angeordnet sein. Eine Hartmaskenschicht 130 ist vorzugsweise auf der ILD-Schicht 120 angeordnet. Mindestens ein Leiter 145 ist in der ILD-Schicht 120 und der Hartmaskenschicht 130 eingebettet. Ein Diffusionsbarriere-Liner 140 kann zwischen der ILD-Schicht 120 und dem Leiter 145 angeordnet sein. Die obere Fläche des Leiters 145 ist koplanar mit der oberen Fläche der Hartmaskenschicht 130 gemacht, üblicherweise durch einen chemisch-mechanischen Polier-(CMP)Schritt. Eine Deckschicht 160 ist auf dem Leiter 145 und der Hartmaskenschicht 130 angeordnet. Eine Haftvermittlerschicht 180 ist über der Deckschicht 160 ausgebildet. Ferner ist eine Siliziumschicht 183 über der Haftvermittlerschicht 180 ausgebildet.
  • Die ILD-Schicht 120 kann aus jedem geeigneten dielektrischen Material gebildet sein, obwohl Low-k-Dielektrika bevorzugt werden. Wie hierin verwendet, bezieht sich Low-k auf Dielektrika mit einer geringeren Dielektrizitätskonstante als Siliziumoxid, die bei etwa 3,9 liegt. Zu geeigneten dielektrischen Materialien zählen kohlenstoffdotierte Siliziumdioxidmaterialien; fluoriertes Silikatglas (FSG); organische polymere hitzegehärtete Materialien; Siliziumoxycarbid; SiCOH-Dielektrika; fluordotiertes Siliziumoxid; Aufschleudergläser; Silsesquioxane, einschließlich Wasserstoffsilsesquioxan (HSQ), Methylsilsesquioxan (MSQ) und Mischungen oder Copolymere von HSQ und MSQ; Polymer-Dielektrika auf Benzocyclobuten-(BCB)Basis und jedes Silizium-haltige Low-k-Dielektrikum. Zu Beispielen von Aufschleuder-Low-k-Schichten mit Zusammensetzungen des SiCOH-Typs unter Verwendung der Silsesquioxan-Chemie zählen HOSPTM (erhältlich von Honeywell), JSR 5109 und 5108 (erhältlich von Japan Synthetic Rubber), ZirkonTM (erhältlich von Shipley Microelectronics, ein Unternehmensbereich von Rohm and Haas) und poröse Low-k-(ELk)Materialien (erhältlich von Applied Materials). Zu Beispielen kohlenstoffdotierter Siliziumdioxidmaterialien oder Organosilanen zählen Black DiamondTM (erhältlich von Applied Materials) und CoralTM (erhältlich von Novellus). Ein Beispiel eines HSQ-Materials ist FOXTM (erhältlich von Dow Corning). Bevorzugte Dielektrika für diese Ausführungsform sind organische polymere hitzegehärtete Materialen, die im Wesentlichen aus Kohlenstoff, Sauerstoff und Wasserstoff bestehen. Zu bevorzugten Dielektrika zählen das als SiLKTM bekannte Low-k-Polyarylenether-Polymermaterial (erhältlich von The Dow Chemical Company) und das als FLARETM bekannte Low-k-Polymermaterial (erhältlich von Honeywell). Die ILD-Schicht 120 kann etwa 100 nm bis etwa 1000 nm dick sein, jedoch sind diese Schichten jeweils vorzugsweise etwa 600 nm dick. Die Dielektrizitätskonstante für die ILD-Schicht 120 beträgt vorzugsweise etwa 1,8 bis etwa 3,5 und am bevorzugtesten etwa 2,0 bis etwa 2,9.
  • Alternativ dazu kann die ILD-Schicht 120 aus einem porösen dielektrischen Material gebildet sein, wie MesoELKTM (erhältlich von Air Products) und XLKTM (eine poröse Version von FOx, erhältlich von Dow Corning). Wenn die ILD-Schicht 120 zum Beispiel aus einem solchen porösen dielektrischen Material gebildet ist, ist die Dielektrizitätskonstante dieser Schichten vorzugsweise geringer als etwa 2,6 und am bevorzugtesten etwa 1,5 bis 2,5. Es wird insbesondere bevorzugt, ein organisches polymeres hitzegehärtetes Material zu verwenden, welches eine Dielektrizitätskonstante von etwa 1,8 bis 2,2 aufweist. Die ILD-Schicht 120 kann auch gemäß dem Prozess erzeugt werden, der unten in Bezug auf die Deckschicht 160 beschrieben wird.
  • Die Haftvermittlerschichten 110 und 180 sind vorzugsweise etwa 9 nm dick und können aus jedem Material bestehen, das zur Beschleunigung der Anhaftung des dielektrischen Materials in den ILD-Schichten 120 und 119 mit den darunter liegenden Flächen geeignet ist. Wenn zum Beispiel SiLKTM als ILD-Schicht 120 verwendet wird, können die Haftvermittlerschichten 110 und 180 aus einem Haftvermittler, der als AP4000 bekannt ist (ebenfalls erhältlich von The Dow Chemical Company), gebildet sein.
  • Die Hartmaskenschicht 130 kann aus jedem geeigneten dielektrischen Material gebildet sein. In einer bevorzugten Ausführungsform ist die Hartmaskenschicht 130 aus Siliziumnitrid gebildet und weist vorzugsweise eine Zusammensetzung von etwa 30 bis 45 Atomprozent Silizium, etwa 30 bis 55 Atomprozent Stickstoff und etwa 10 bis 25 Atomprozent Wasserstoff auf. Am bevorzugtesten weisen diese Siliziumnitrid-Hartmaskenschichten eine Zusammensetzung von etwa 41 Atomprozent Silizium, etwa 41 Atomprozent Stickstoff und etwa 17,5 Atomprozent Wasserstoff auf. Alternativ dazu ist in einer weiteren bevorzugten Ausführungsform die Hartmaskenschicht 130 aus Siliziumcarbid gebildet und weist vorzugsweise eine Zusammensetzung von etwa 20 bis 40 Atomprozent Silizium, etwa 20 bis 50 Atomprozent Kohlenstoff und etwa 20 bis 45 Atomprozent Wasserstoff auf.
  • Eine besonders bevorzugte Zusammensetzung weist etwa 27 Atomprozent Silizium, etwa 36 Atomprozent Kohlenstoff und etwa 37 Atomprozent Wasserstoff auf.
  • Der Leiter 145 kann aus jedem geeigneten leitfähigen Material gebildet sein, wie beispielsweise Kupfer oder Aluminium. Kupfer wird wegen seines relativ geringen elektrischen Widerstands insbesondere als das leitfähige Material bevorzugt. Der Kupferleiter 145 kann geringe Konzentrationen anderer chemischer Elemente enthalten. Der Diffusionsbarriereliner 140 kann eines oder mehrere der folgenden Materialien aufweisen: Ruthenium, Tantal, Titan, Wolfram und die Nitride dieser Metalle.
  • Die Deckschicht 160 kann aus Siliziumnitrid, Siliziumcarbid, Bornitrid oder einem anderen geeigneten dielektrischen Material gebildet sein und wird vorzugsweise unter Verwendung eines HDP-CVD-Prozesses erzeugt. Es wurde festgestellt, dass Materialien, die mittels eines HDP-CVD-Prozesses aufgebracht wurden, eine bessere Haftung und einen besseren Elektromigrationswiderstand bereitstellen. Jedoch können auch Materialien, die ähnliche Eigenschaften aufweisen, allerdings mittels anderer Prozesse aufgebracht wurden, für die Deckschicht 160 verwendet werden. Die Deckschicht 160 wird am bevorzugtesten aus HDP-CVD-Siliziumnitrid mit einer Zusammensetzung von etwa 30 bis 50 Atomprozent Silizium, etwa 40 bis 65 Atomprozent Stickstoff und etwa 5 bis 13 Atomprozent Wasserstoff gebildet. Eine besonders bevorzugte Zusammensetzung für die Deckschichten 160 und 123 besteht aus etwa 40 Atomprozent Silizium, etwa 52 Atomprozent Stickstoff und etwa 8 Atomprozent Wasserstoff. Die Deckschicht 160 weist vorzugsweise eine Dicke in einem Bereich von etwa 25 bis 700 Ångström auf und am bevorzugtesten im Bereich von etwa 50 bis 350 Ångström. Der Diffusionsbarriereliner 140 und die Deckschicht 160 können auch andere Materialien aufweisen, die zur Verhinderung der Metalldiffusion bekannt sind.
  • Die Siliziumschicht 183 wird vorzugsweise bei einer Temperatur aufgebracht, die niedrig genug ist, um eine Verschlechterung der darunter liegenden Schichten oder Einrichtungen, die in dem Substrat 101 eingebettet sind, zu verhindern. Daher kann die Beschichtungstemperatur unter etwa 400°C und vorzugsweise unter etwa 250°C und bevorzugter unter etwa 23°C liegen. Die Siliziumschicht 183 kann eine polykristalline Schicht aufweisen, obwohl eine Einzelkristallschicht bevorzugter ist.
  • Weiter Bezug nehmend auf 1, weisen die Ausführungsformen der Erfindung das Bilden einer Siliziumschicht 183 über dem Substrat 101 und bevorzugt über dem Leiter 145 auf. Die Siliziumschicht 183 kann mittels herkömmlicher Silizium-Epitaxieverfahren, einschließlich PVD, CVD, PECVD, MBE und ALD aufgebracht werden. Wie unten in Verbindung mit bevorzugten Ausführungsformen der Erfindung beschrieben, wird die Siliziumschicht 183 in eine poröse dielektrische Schicht umgewandelt, nachdem eine Damaszener-Verbindungsstruktur durch die Siliziumschicht 183 hindurch erzeugt wurde.
  • Nun Bezug nehmend auf 2, ist die Zwischenstruktur von 1 nach weiterer Verarbeitung gemäß der Ausführungsformen der Erfindung veranschaulicht. Unter Verwendung herkömmlicher Lithographie- und Musterungstechniken wird eine Damaszener-Verbindungsöffnung 190, die ein Durchgangsloch 191 und einen Graben 192 aufweist, durch die Siliziumschicht 183, die Deckschicht 160 und den Haftvermittler 180 zum Leiter 145 erzeugt. Die Damaszener-Verbindungsöffnung 190 ist mit einem Diffusionsbarriere-Liner 201 versehen, der mittels der gleichen Materialien und Verfahren, die oben in Bezug auf den Diffusionsbarriere-Liner 140 beschrieben wurden, erzeugt werden kann. Als nächstes wird die Damaszener-Verbindungsöffnung 190 mit einem Leiter 212 gefüllt, der mittels der gleichen Materialien und Verfahren, die oben in Bezug auf den Leiter 145 beschrieben wurden, erzeugt werden kann. Als nächstes wird die Zwischenstruktur geebnet, z. B. mittels CMP.
  • Als nächstes wird selektiv eine zweite dielektrische Deckschicht 225 auf dem Leiter 212 erzeugt, wodurch die Zwischenstruktur 193 entsteht, die in 2 veranschaulicht ist. Die zweite dielektrische Deckschicht 225 weist vorzugsweise poröses Silizium oder Derivate davon auf. Wie in 2 gezeigt, ist der Leiter 212 vorzugsweise von dem Diffusionsbarriere-Liner 201 und der zweiten dielektrischen Deckschicht 225 eingeschlossen, um ihn in späteren Prozessschritten und im Endprodukt zu schützen. Wie in 2 gezeigt, liegt die Fläche 194 der Siliziumschicht 183 in der Zwischenstruktur 193 frei. Als nächstes wird die Siliziumschicht 183 anodisch oxidiert.
  • Eine geeignete elektrochemische Vorrichtung 301 zum anodischen Oxidieren der Zwischenstruktur 193 ist in 3 veranschaulicht. Die Vorrichtung 301 weist einen Elektrolyt 305 auf, der in Kontakt mit der Fläche 194 der Siliziumschicht 183 steht. Eine Anode 310 steht in elektrischem Kontakt mit der Siliziumschicht 183. Eine Kathode 315 kann ein Platin-Gitter 320 aufweisen, das in den Elektrolyt 305 eingetaucht ist. Die Vorrichtung 301 kann auch ein mechanisches Rührelement 325 und eine Referenzelektrode 330 aufweisen, die auch in den Elektrolyt 305 eingetaucht sind.
  • Der Elektrolyt 305 weist vorzugsweise einen Säureelektrolyt auf, der Fluorwasserstoffsäure und mindestens eine weitere Säure aufweisen kann. In einer Ausführungsform weist der Elektrolyt eine wässrige Lösung aus Fluorwasserstoffsäure und Essigsäure auf. Die Konzentration der Fluorwasserstoffsäure beträgt 40 Gew.%, während die der Essigsäure 5 Gew.% beträgt. Der pH-Wert eines solchen Elektrolyts liegt dann unter 2. Die anodische Oxidation wird durch das Anlegen einer Spannung zwischen der Anode 310 und der Kathode 315, um einen konstanten anodischen Stromfluss zu erzeugen, der einer anodischen Stromdichte gleich etwa 3 mA/cm2 entspricht, bewirkt. Die Umwandlungsrate des Siliziums in poröses Silizium liegt dann in einer Größenordnung von 0,2 Mikronen/Minute und die abschließend erhaltene Porosität liegt in einer Größenordnung von 60%. Obwohl Essigsäure als ein sehr guter oberflächenaktiver Stoff dient, kann zur Vereinfachung der Entfernung der Wasserstoffbläschen mittels des Rührelementes 325 optional weiteres, leichtes mechanisches Rühren durchgeführt werden.
  • Die anodische Oxidation wird vorzugsweise fortgesetzt, bis sich komplett durch die Dicke der Siliziumschicht 183 hindurch Poren bilden. An diesem Punkt erhöht sich der Widerstand und, da die Operation mit einem konstanten Strom durchgeführt wurde, führt dies zu einer Erhöhung der Spannung zwischen der Anode 310 und der Referenzelektrode 330. Die anodische Oxidation wird dann gestoppt, indem der Strom abgeschaltet wird. Somit kann das anodische Ätzen einer Siliziumschicht das Messen einer Potentialdifferenz zwischen einem Elektrodenpaar, das in dem Elektrolyt angeordnet ist, und das Stoppen der anodischen Oxidation, wenn ein Anstieg in der Potentialdifferenz verzeichnet wird, aufweisen.
  • Nun Bezug nehmend auf 4, ist das Ergebnis der anodischen Oxidation das Bilden einer porösen Siliziumschicht 183A mit mehreren Verbindungsporen 197. Vorzugsweise weist die poröse Siliziumschicht 183A eine poröse Struktur und Eigenschaften, die im Wesentlichen ähnlich dem Dielektrikum 120 wie oben beschrieben sind, auf. Am bevorzugtesten ist die Dielektrizitätskonstante der porösen Siliziumschicht 183A normalerweise die eines Low-k-Dielektrikums, d. h. geringer als etwa 3,9.
  • Im Allgemeinen durchläuft das frisch erzeugte poröse Silizium eine weitere Oxidation und/oder Hydrierung, wenn es Luft ausgesetzt wird. Da dies die Dielektrizitätskonstante erhöhen kann, weisen die Ausführungsformen der Erfindung ferner einen Passivierungsprozess 430 auf, der die Oberfläche 194 des porösen Dielektrikums 183A stabilisiert.
  • In einem weiteren optionalen Schritt kann das poröse Silizium durch einen RTP-(rapid temperature processing)H2O- und/oder O2-Prozess zu einem porösen Siliziumdioxid oxidiert werden. Der viel langsamere Hochofenprozess führt jedoch zu einem höheren thermischen Budget und könnte Migrationseffekte des Leiters oder anderer Ionen verursachen. Die Ausführungsformen der Erfindung können daher ein poröses Silizium-Dielektrikum, ein poröses Siliziumdioxid-Dielektrikum und Kombinationen davon aufweisen.
  • Das Passivieren weist die Behandlung des porösen Siliziums mit einem Hydroxytrialkylsilan auf. Vorzugsweise entspricht das Hydroxytrialkylsilan der allgemeinen Formel HO-Si-R1R2R3, wobei R1-3 aus der Gruppe, die im Wesentlichen aus Methyl, Ethyl, Propyl, Isopropyl, Butyl, Isobutyl und Kombinationen davon besteht, ausgewählt sind.
  • In weiteren Ausführungsformen der Erfindung kann die Passivierung 430 das Versiegeln der Poren 197, welche die Fläche 194 des porösen Siliziums 183A kreuzen, aufweisen. Die Ausführungsformen können das Reagieren des porösen Siliziums 183A mit einem mehrzähnigen, die Poren versiegelnden Liganden aufweisen. Der mehrzähnige Ligand ist vorzugsweise ein zweizähniger Ligand.
  • Zweizähnige und mehrzähnige, die Poren versiegelnde Liganden sind von Vorteil, da die Liganden mehrere Befestigungspunkte zur Low-k-Dielektrikumschicht aufweisen. Viele herkömmliche Dielektrikum-Behandlungen weisen Moleküle mit nur einem einzigen Befestigungspunkt auf. Bei diesen Molekülen besteht eine größere Chance ihrer Entfernung im Verlauf von Hochtemperatur-Verarbeitungsschritten oder anderen Schritten, wie Sputtern und Reinigung. Daher weisen die Ausführungsformen dieser Erfindung die Verwendung von mehrzähnigen, die Poren versiegelnden Molekülen mit mehreren Befestigungspunkten auf.
  • Der die Poren versiegelnde Ligand kann ein Reagens sein, das in einem separaten System hergestellt und der Plasmareaktionskammer zugegeben wird. Oder der Porenversiegelungsprozess kann in einer separaten Reaktionskammer stattfinden. In weiteren Ausführungsformen kann der die Poren versiegelnde Ligand in situ erzeugt werden, wo er gebildet wird und kurz danach mit der beschädigten Dielektrikum-Oberfläche reagiert. Der Reparaturprozess durch den die Poren versiegelnden Liganden kann in einer einzelnen chemischen Reaktion stattfinden oder in einer mehrstufigen Reaktion.
  • Kleine Moleküle sind aufgrund ihrer geringeren sterischen Hinderung besser in der Lage, in die Poren einzudringen und die Poren innerhalb der Masse zu versiegeln. Im Gegensatz dazu reagieren große Moleküle am Eingang der Oberflächenporen, wodurch die Oberfläche versiegelt, jedoch die interne Porenreparatur behindert wird. Dementsprechend weist eine bevorzugte Ausführungsform einen zweistufigen Prozess auf, bei dem zuerst die internen Poren mit kleinen Reparaturmolekülen behandelt werden, und im Anschluss daran werden die externen Poren mit großen Molekülen behandelt. Diese letzte Behandlung kann einen Plasmaschritt zum Freimachen von Bindungsstellen und einen Versiegelungsschritt aufweisen.
  • In bevorzugten Ausführungsformen ist der die Poren versiegelnde Ligand durch die allgemeine Formel X-CH2-(CH2)n-CH2-X dargestellt, wobei X eine Austrittsgruppe ist und n = 0–2. Zu Austrittsgruppen können H, NH2, Cl, Br, I, OCH3, -SO2F oder Triflat(-O-SO2-CF3) zählen. Bei der Bildung von Si-O-Si oder Si-O-C wird HX normalerweise durch alternative Ausführungsformen gebildet, welche größere Liganden aufweisen, die im Allgemeinen durch die Formel X-Si(CH3)2-(CH2)n-Si(CH3)2-X (n = 0–2) dargestellt sind, wobei X wieder die Austrittgruppe ist.
  • Alternative Ausführungsformen können einfach oder mehrfach verzweigte (massige) mehrzähnige Liganden aufweisen. Ein Beispiel einfach verzweigter Liganden weist Verbindungen auf, die im Allgemeinen durch X-CH2-(CH2)m(CR1R2)(CH2)o-CH2-X (2 + m + o + 1 = n/2) dargestellt sind, wobei R1 und R2 unabhängig H, Alkyl oder Aryl sind. Der mehrzähnige Ligand kann auch einen dreizähnigen Liganden aufweisen. Ein bevorzugter dreizähniger Ligand ist durch die folgende allgemeine Formel dargestellt: X-CH2-(CH2)m(CXH)(CH2)o-CH2-X; oder X-Si(CH3)2-(CH2)m(CXH)(CH2)o-Si(CH3)2-X; oder X-Si(CH3)2-(CH2)m(SiXCH3)(CH2)o-Si(CH3)2-X, wobei X die Austrittsgruppe ist. Die Kettenlänge m ≈ o ≈ n kann durch die Porengröße = (n + 2) × 1.22 Å genähert werden.
  • In weiteren Ausführungsformen der Erfindung (nicht gezeigt) kann die zweite dielektrische Deckschicht 225 optional an dieser Stelle durch eine andere Deckschicht ersetzt sein, welche das Dielektrikum 183 und den Leiter 212 bedeckt. Oder anstatt die zweite dielektrische Deckschicht 225 zu ersetzen, kann eine weitere Deckschicht die zweite dielektrische Deckschicht 225 und das Dielektrikum 183 bedecken. Die Ausführungsformen können auch eine Reparatur oder Modifikation der zweiten dielektrischen Deckschicht 225 aufweisen. Zum Beispiel kann eine Modifikation das Bilden einer Öffnung in der Deckschicht aufweisen, um ein Durchgangsloch zu einem im Anschluss erzeugten nächsten Level zu erzeugen. Der Rest der Herstellung der Einrichtung, einschließlich der wiederholten Bildung von Low-k-Isolatorschichten, Durchgangsloch- und Grabenätzung und Metallaufbringung für zusätzliche Metallebenen, kann dann durchgeführt werden. Die Herstellung kann auch ein Bilden weiterer Dielektrika aufweisen, die nicht mit den Damaszener-Anwendungen in Verbindung stehen, wobei die Dielektrika gemäß den Ausführungsformen der Erfindung behandelt werden.

Claims (2)

  1. Verfahren zum Herstellen einer Halbleitereinrichtung, wobei das Verfahren aufweist: • Bilden einer Siliziumschicht über einem Substrat; • Bilden einer Öffnung durch die Siliziumschicht; • Füllen der Öffnung mit einem Leiter; • anodisches Ätzen der Siliziumschicht, so dass poröses Silizium gebildet wird; und • Passivieren des porösen Siliziums, • wobei das Passivieren des porösen Siliziums ein Behandeln des porösen Siliziums mit einem Hydroxytrialkylsilan aufweist.
  2. Verfahren gemäß Anspruch 1, wobei das Hydroxytrialkylsilan der allgemeinen Formel HO-Si-R1R2R3 entspricht, wobei R1-3 aus der Gruppe, die aus Methyl, Ethyl, Propyl, Isopropyl, Butyl, Isobutyl, und Kombinationen davon besteht, ausgewählt sind.
DE112007000215.8T 2006-01-24 2007-01-24 Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum Expired - Fee Related DE112007000215B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/338,059 US7972954B2 (en) 2006-01-24 2006-01-24 Porous silicon dielectric
US11/338,059 2006-01-24
PCT/US2007/002057 WO2007087406A2 (en) 2006-01-24 2007-01-24 Porous silicon dielectric

Publications (2)

Publication Number Publication Date
DE112007000215T5 DE112007000215T5 (de) 2008-12-11
DE112007000215B4 true DE112007000215B4 (de) 2015-01-22

Family

ID=38286101

Family Applications (4)

Application Number Title Priority Date Filing Date
DE112007003795.4T Expired - Fee Related DE112007003795B4 (de) 2006-01-24 2007-01-24 Poröses Silizium-Dielektrikum und Herstellungsverfahren
DE112007000215.8T Expired - Fee Related DE112007000215B4 (de) 2006-01-24 2007-01-24 Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum
DE200711003796 Withdrawn DE112007003796A5 (de) 2006-01-24 2007-01-24 Poröses Silizium-Dielektrikum
DE112007003793.8T Expired - Fee Related DE112007003793B4 (de) 2006-01-24 2007-01-24 Verfahren zum Herstellen einer Halbleitereinrichtung mit Bilden von porösem Silizium-Dielektrikum

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE112007003795.4T Expired - Fee Related DE112007003795B4 (de) 2006-01-24 2007-01-24 Poröses Silizium-Dielektrikum und Herstellungsverfahren

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE200711003796 Withdrawn DE112007003796A5 (de) 2006-01-24 2007-01-24 Poröses Silizium-Dielektrikum
DE112007003793.8T Expired - Fee Related DE112007003793B4 (de) 2006-01-24 2007-01-24 Verfahren zum Herstellen einer Halbleitereinrichtung mit Bilden von porösem Silizium-Dielektrikum

Country Status (3)

Country Link
US (1) US7972954B2 (de)
DE (4) DE112007003795B4 (de)
WO (1) WO2007087406A2 (de)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5684965B2 (ja) * 2005-06-20 2015-03-18 大王製紙株式会社 塗工紙の製造方法
US20070077778A1 (en) * 2005-10-04 2007-04-05 The Boc Group, Inc. Method of forming low dielectric constant layer
US7732322B2 (en) * 2006-02-23 2010-06-08 International Business Machines Corporation Dielectric material with reduced dielectric constant and methods of manufacturing the same
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
WO2010027962A2 (en) * 2008-09-04 2010-03-11 The Board Of Trustees Of The University Of Illinois Method of forming a nanoscale three-demensional pattern in a porous semiconductor
JP5331443B2 (ja) * 2008-10-29 2013-10-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
DE102009010844B4 (de) * 2009-02-27 2018-10-11 Advanced Micro Devices, Inc. Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP5567926B2 (ja) * 2010-07-29 2014-08-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8969197B2 (en) * 2012-05-18 2015-03-03 International Business Machines Corporation Copper interconnect structure and its formation
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
WO2016149696A1 (en) * 2015-03-19 2016-09-22 Specmat, Inc. Silicon-containing semiconductor structures, methods of making the same and devices including the same
US9905463B2 (en) 2015-12-15 2018-02-27 International Business Machines Corporation Self-aligned low dielectric constant gate cap and a method of forming the same
CN111446152A (zh) * 2020-04-03 2020-07-24 上海集成电路研发中心有限公司 一种制备低介电常数介质层的方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3962052A (en) * 1975-04-14 1976-06-08 International Business Machines Corporation Process for forming apertures in silicon bodies
US6277765B1 (en) * 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
US6284317B1 (en) * 1998-04-17 2001-09-04 Massachusetts Institute Of Technology Derivatization of silicon surfaces
US20020022339A1 (en) * 2000-07-27 2002-02-21 Markus Kirchhoff Method for forming an insulator having a low dielectric constant on a semiconductor substrate
US20030106801A1 (en) * 2001-12-10 2003-06-12 National Research Council Luminescence stabilization of anodically oxidized porous silicon layers
US20040018452A1 (en) * 2002-04-12 2004-01-29 Paul Schilling Method of treatment of porous dielectric films to reduce damage during cleaning
US20040072436A1 (en) * 2002-10-09 2004-04-15 Ramachandrarao Vijayakumar S. Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332697A (en) * 1989-05-31 1994-07-26 Smith Rosemary L Formation of silicon nitride by nitridation of porous silicon
US5266530A (en) * 1991-11-08 1993-11-30 Bell Communications Research, Inc. Self-aligned gated electron field emitter
US5508542A (en) * 1994-10-28 1996-04-16 International Business Machines Corporation Porous silicon trench and capacitor structures
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
FR2779006B1 (fr) * 1998-05-19 2003-01-24 St Microelectronics Sa Procede de formation de silicium poreux dans un substrat de silicium, en particulier pour l'amelioration des performances d'un circuit inductif
CN1365292A (zh) * 1999-05-01 2002-08-21 秦内蒂克有限公司 衍生多孔硅
DE19957384A1 (de) * 1999-11-29 2001-05-31 Targor Gmbh Hochmolekulares Polypropylen mit breiter Molekulargewichtsverteilung und geringer isotaktischer Sequenzlänge
WO2002005349A1 (en) * 2000-07-12 2002-01-17 California Institute Of Technology Electrical passivation of silicon-containing surfaces using organic layers
WO2002103752A2 (en) * 2000-11-27 2002-12-27 The Board Of Trustees Of The University Of Illinois Metal-assisted chemical etch to produce porous group iii-v materials
CN1511140A (zh) * 2001-02-20 2004-07-07 �ձ�������ʽ���� 肟醚化合物及农业或园艺杀真菌剂
JP3664987B2 (ja) * 2001-03-14 2005-06-29 シャープ株式会社 電子顕微鏡観察用試料の作成方法及び半導体装置の解析方法
US6903000B2 (en) * 2001-12-28 2005-06-07 Texas Instruments Incorporated System for improving thermal stability of copper damascene structure
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
JP4246640B2 (ja) * 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
DE10250687A1 (de) * 2002-10-31 2004-05-13 Bayer Ag 7H-Dibenzo(b,g)(1,5)dioxocin-5-on-Derivate und ihre Verwendung
US7078276B1 (en) * 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6927454B2 (en) * 2003-10-07 2005-08-09 International Business Machines Corporation Split poly-SiGe/poly-Si alloy gate stack
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
DE602006021704D1 (de) * 2005-02-25 2011-06-16 Kowa Co Verfahren zur herstellung eines 4-fluorisochinolin-5-sulfonylhalogenids oder eines salzes davon

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3962052A (en) * 1975-04-14 1976-06-08 International Business Machines Corporation Process for forming apertures in silicon bodies
US6284317B1 (en) * 1998-04-17 2001-09-04 Massachusetts Institute Of Technology Derivatization of silicon surfaces
US6277765B1 (en) * 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
US20020022339A1 (en) * 2000-07-27 2002-02-21 Markus Kirchhoff Method for forming an insulator having a low dielectric constant on a semiconductor substrate
US20030106801A1 (en) * 2001-12-10 2003-06-12 National Research Council Luminescence stabilization of anodically oxidized porous silicon layers
US20040018452A1 (en) * 2002-04-12 2004-01-29 Paul Schilling Method of treatment of porous dielectric films to reduce damage during cleaning
US20040072436A1 (en) * 2002-10-09 2004-04-15 Ramachandrarao Vijayakumar S. Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials

Also Published As

Publication number Publication date
DE112007003793B4 (de) 2016-11-17
WO2007087406A2 (en) 2007-08-02
DE112007003796A5 (de) 2015-04-16
DE112007003795A5 (de) 2015-04-23
DE112007003793A5 (de) 2015-03-05
US7972954B2 (en) 2011-07-05
US20070173073A1 (en) 2007-07-26
DE112007003795B4 (de) 2018-05-24
WO2007087406A3 (en) 2007-11-15
DE112007000215T5 (de) 2008-12-11

Similar Documents

Publication Publication Date Title
DE112007000215B4 (de) Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum
DE102012111574B4 (de) Verfahren zum Ausbilden einer leitfähigen Dual-Damaszener-Kontaktstruktur undHerstellungsverfahren für eine Halbleitervorrichtung
DE10154771B4 (de) Zusammensetzung auf Siliziumbasis, Film mit niedriger Dielektrizitätskonstante und Verfahren zu dessen Herstellung
DE10164913B4 (de) Halbleitervorrichtungen mit Filmmaterial mit niedriger Dielektrizitätskonstante und Verfahren zu ihrer Herstellung
DE112005002692B3 (de) Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE602004004483T2 (de) Verfahren zur Bildung einer Doppeldamaszener-Metallzwischenverbindung
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE10248272A1 (de) Halbleitervorrichtung und Verfahren für ihre Herstellung
DE112005001676T5 (de) Verfahren und System zum Charakterisieren von porösen Materialien
DE112004001530B4 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
KR100350357B1 (ko) 반도체장치의제조방법및반도체장치
DE102009023379B4 (de) Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102012206024A1 (de) Verfahren zum Bilden oxideingekapselter leitfähiger Merkmale
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
EP1516026B1 (de) Verfahren zum versiegeln poroser materialien bei der chipherstellung und verbindungen hierfur
DE102007022621B4 (de) Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
DE102009023378B4 (de) Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
DE10339990B4 (de) Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
DE69928146T2 (de) Metallisierungsstruktur auf einem fluorhaltigen Dielektrikum und Herstellungsverfahren dafür
DE102022100822A1 (de) Verringerung der oxidation durch gesondertes ätzen der opfer- und der schutzschicht
DE102011090164B4 (de) Verfahren zum Bearbeiten eines dielektrischen Materials mit kleinem ε und Verfahren zur Reparatur geschädigter Oberflächenbereiche von empfindlichen Dielektrika mit kleinem ε für Mikrostrukturbauelemente und Halbleiterbauelemente
DE102018202132B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur mit einem im Wesentlichen geraden Kontaktprofil

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R130 Divisional application to

Ref document number: 112007003793

Country of ref document: DE

R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 112007003793

Country of ref document: DE

Effective date: 20150113

R020 Patent grant now final
R082 Change of representative
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee