DE10339990B4 - Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung - Google Patents

Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung Download PDF

Info

Publication number
DE10339990B4
DE10339990B4 DE10339990A DE10339990A DE10339990B4 DE 10339990 B4 DE10339990 B4 DE 10339990B4 DE 10339990 A DE10339990 A DE 10339990A DE 10339990 A DE10339990 A DE 10339990A DE 10339990 B4 DE10339990 B4 DE 10339990B4
Authority
DE
Germany
Prior art keywords
layer
copper
metal
implantation
cover layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10339990A
Other languages
English (en)
Other versions
DE10339990B8 (de
DE10339990A1 (de
Inventor
Hans-Jürgen Engelmann
Ehrenfried Zschech
Peter Hübler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10339990A priority Critical patent/DE10339990B8/de
Priority to US10/813,223 priority patent/US7183629B2/en
Publication of DE10339990A1 publication Critical patent/DE10339990A1/de
Application granted granted Critical
Publication of DE10339990B4 publication Critical patent/DE10339990B4/de
Publication of DE10339990B8 publication Critical patent/DE10339990B8/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Verfahren mit: Bilden eines Metallgebiets (103) über einem Substrat (101), wobei das Metallgebiet einen ersten Oberflächenbereich aufweist; Bilden einer Deckschicht (107, 107B) auf dem ersten Oberflächenbereich (105); und Implantieren (108) eines diffusionsratenreduzierenden Materials in das Metallgebiet nach dem Bilden der Deckschicht, wobei das diffusionsratenreduzierende Material ein Material aufweist, das in der Deckschicht enthalten ist.

Description

  • HINTERGRUND DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten, die gut leitfähige Metalle, etwa Kupfer, aufweisen, die in einem dielektrischen Material eingebettet sind.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • In modernen integrierten Schaltungen haben die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 μm erreicht, wodurch das Leistungsverhalten dieser Schaltungen hinsichtlich der Geschwindigkeit und/oder der Leistungsaufnahme ständig verbessert wurden. Wenn die Größe der einzelnen Schaltungselemente deutlich verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert wird, wird auch der verfügbare Platz für Verbindungsleitungen, die elektrisch die einzelnen Schaltungselemente verbinden, ebenso reduziert. Folglich müssen auch die Abmessungen dieser Verbindungsleitungen verkleinert werden, um dem verringerten Anteil an verfügbarem Platz und der erhöhten Anzahl an Schaltungselementen, die pro Chip vorgesehen sind, Rechnung zu tragen. in integrierten Schaltungen mit minimalen Abmessungen von ungefähr 100 nm oder weniger ist ein begrenzender Faktor für die Bauteilleistungsfähigkeit die Signalausbreitungsverzögerung, die durch die Schaltgeschwindigkeit der Transistorelemente hervorgerufen wird. Wenn die Kanallänge dieser Transistorelemente kleiner als 100 nm ist, stellt sich jedoch heraus, dass die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren begrenzt ist, sondern dass diese auf Grund der erhöhten Schaltungsdichte durch den geringen Abstand der Verbindungsleitungen beschränkt ist, da die Kapazität von Leitung zu Leitung erhöht und die Leitfähigkeit dieser Leitungen auf Grund der reduzierten Querschnittsfläche, die durch den verringerten verfügbaren Platz erzwungen wird, verringert ist. Die parasitären RC-Zeitkonstanten erfordern daher die Einführung neuer Arten von Materialien für die Herstellung von Metallisierungsschichten.
  • Herkömmlich wurden Metallisierungsschichten aus einem dielektrischen Schichtstapel mit beispielsweise Siliziumdioxid und/oder Siliziumnitrid gebildet, wobei Aluminium als typisches Metall verwendet wurde. Da Aluminium eine merkliche Elektromigration bei höheren Stromdichten aufweist, die bei integrierten Schaltungen mit äußerst größenreduzierten Strukturelementen erforderlich sind, wird Aluminium zunehmend durch Kupfer ersetzt, das einen deutlich geringeren elektrischen Widerstand und eine höhere Widerstandsfähigkeit gegen Elektromigration aufweist.
  • Die Einführung von Kupfer zieht jedoch gewisse Probleme nach sich, die es zu lösen gilt. Beispielsweise kann Kupfer nicht in größeren Mengen in effizienter Weise durch gut etablierte Abscheideverfahren, etwa die chemische oder physikalische Dampfabscheidung aufgebracht werden. Des weiteren kann Kupfer nicht in wirksamer Weise durch gut etablierte anisotrope Ätzprozesse strukturiert werden, und daher wird die sogenannte Damaszener-Technik bei der Herstellung von Metallisierungsschichten mit Kupferleitungen eingesetzt. Typischerweise wird bei der Damaszener-Technik die dielektrische Schicht zuerst abgeschieden und anschließend mit Gräben und Kontaktöffnungen strukturiert, die nachfolgend mit Kupfer durch Galvanisierungsverfahren, etwa Elektroplattieren oder stromloses Plattieren, gefüllt werden.
  • Ein weiteres Problem bei der Kupfertechnologie ist die Fähigkeit des Kupfers, gut in Siliziumdioxid zu diffundieren. Daher kann die Kupferdiffusion das Bauteilverhalten negativ beeinflussen oder kann selbst einen vollständigen Ausfall des Bauteils hervorrufen. Es ist daher notwendig, eine Diffusionsbarrierenschicht zwischen den Kupferoberflächen und den benachbarten Materialien vorzusehen, um im Wesentlichen zu vermeiden, dass Kupfer in empfindliche Bauteilgebiete wandert. Dabei kann die Diffusionsbarrierenschicht auch dazu dienen, die Haftung zu verbessern und der Struktur eine verbesserte mechanische Stabilität zu verleihen.
  • Siliziumnitrid ist als wirksame Kupferdiffusionsbarriere bekannt und wird daher häufig als dielektrisches Barrierenmaterial verwendet, das eine Kupferoberfläche von einer dielektrischen Zwischenschicht, etwa Siliziumdioxid, trennt. Wie zuvor angemerkt ist, ist das Bauteilverhalten von äußert größenreduzierten integrierten Schaltungen im Wesentlichen durch die parasitären Kapazitäten benachbarter Verbindungsleitungen begrenzt, die durch Verringern des Widerstands und durch Reduzieren der kapazitiven Ankopplung reduziert werden können, indem die gesamte dielektrische Konstante so klein wie möglich gehalten wird. Da Siliziumnitrid eine relativ hohe dielektrische Konstante ε von ungefähr 7 im Vergleich zu Siliziumdioxid (ε ≈ 4) oder anderen dielektrischen Materialien mit kleinem ε auf Siliziumdioxidbasis (ε < 4) aufweist, werden häufig Barrierenschichten auf der Basis von Siliziumkarbid verwendet. Ferner kann Siliziumkarbid eine erhöhte Bindungsfähigkeit an der Grenzfläche zu Materialien mit kleinem ε im Vergleich zu Siliziumnitrid bereitstellen.
  • Obwohl Kupfer bessere Eigenschaften hinsichtlich der Widerstandsfähigkeit gegen Elektromigration im Vergleich zu beispielsweise Aluminium zeigt, führt die weitergehende Reduzierung der Strukturgrößen zu einer weiteren Abnahme der Größe von Kupferleitungen und damit zu erhöhten Stromdichten in diesen Leitungen, wodurch wiederum ein nicht akzeptables Maß an Elektromigration trotz der besseren Eigenschaften des Kupfers hervorgerufen wird. Elektromigration ist ein Diffusionsphänomen, das unter dem Einfluss eines elektrischen Feldes auftritt und zu einer Metalldiffusion in Richtung der sich bewegenden Ladungsträger führt, wodurch letztlich Hohlräume in den Metallleitungen erzeugt werden, die einen Bauteilausfall verursachen können. Im Falle von Kupfer hat sich bestätigt, dass diese Hohlräume typischerweise an der Grenzfläche des Kupfers und der Diffusionsbarriere entstehen und einen der wichtigsten Diffusionswege in Kupfermetallisierungsstrukturen darstellen. Es ist daher von großer Bedeutung, Grenzflächen hoher Qualität zwischen dem Kupfer und der Diffusionsbarriere, etwa der Siliziumnitridschicht oder Siliziumkarbidschicht, herzustellen, um die Elektromigration auf ein akzeptables Maß zu reduzieren.
  • Es stellt sich jedoch heraus, dass unabhängig von dem verwendeten Barrierenmaterial eine deutliche Elektromigration in modernen integrierten Schaltungen beobachtet werden kann, wobei dieser Effekt sich bei Vorhandensein von erhöhten Temperaturen, von mechanischen Spannungen und dergleichen, die typische Betriebsbedingungen moderner integrierter Schaltungen repräsentieren, noch verstärkt. Daher kann eine weitere Größenreduzierung des Bauteils zu einem beeinträchtigten Bauteilverhalten oder zu einem vorzeitigem Bauteilausfall auf Grund der erhöhten Metalldiffusion entlang der Grenzfläche zwischen der Barrierenschicht und der Metallleitung führen. Angesichts der Probleme in Bezug auf die Elektromigration von Metallen, etwa von Kupfer, an Grenzflächen zu darüber liegenden Flächen einer Barrierenschicht ist eine verbesserte Technik erforderlich, die einige der oben erkannten Probleme eliminieren oder zumindest verringern kann.
  • Das Dokument US 2003/0118798 A1 betrifft eine Kupferzwischenverbindung umfassend eine Barrierenmetallschicht auf einer Zwischenverbindungsnut, eine Kupfer- oder Kupferlegierungsschicht für eine Zwischenverbindung, die auf der Barrierenmetallschicht ausgebildet ist, und dem Kupfer hinzugefügte Verunreinigungen zur Reduzierung von Leerstellen, die in einer Schnittstelle zwischen der Kupfer- oder Kupferlegierungsschicht und der Barrierenmetallschicht auftreten.
  • Das Dokument US 6,518,184 B1 betrifft ein Verfahren, eine Vorrichtung und ein System, sowie ein maschinenlesbares Medium für eine Zwischenverbindungsstruktur in einer Halbleitereinrichtung, wobei ebenfalls eine Si-Implantation in einer Kupferschicht zur Vermeidung einer Kupfersilizidschicht durchgeführt wird, allerdings vor dem Abscheiden einer Deckschicht.
  • Die WO 01/08213 A1 betrifft ein Verfahren, bei dem zur Verminderung von Elektromigration von Kupferleitungen eine dünne Metallschicht auf die freie Oberfläche einer strukturierten Kupferleitung selektiv aufgetragen wird.
  • Die US2002/0076925 A1 betrifft ein Verfahren, bei dem zur Verminderung von Elektromigration von Kupferleitungen eine Schicht mit Dotierstoffatomen auf eine Kupferschicht aufgetragen wird und durch einen Ausheilschritt Dotierstoffatome aus dieser Schicht in die Kupferschicht eingebracht werden.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung eine Technik, um wirksam die Diffusionsaktivität einer Metallleitung an einer Grenzfläche zu einer dielektrischen Deckschicht zu reduzieren, wobei die Tendenz des Metalls für Elektromigration während erhöhter Stromdichten innerhalb der Metallleitung deutlich verkleinert wird. Zu diesem Zwecke wird ein geeignetes Material durch die Deckschicht oder zumindest einen Teil davon in das Metallgebiet in der Nähe der Grenzfläche so eingeführt, um wirksam Hohlräume aufzufüllen und/oder um Korngrenzen des Metalls zu blockieren.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Herstellen eines Metallgebiets über einem Substrat, gemäß Anspruch 1.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird. Es zeigen:
  • 1a bis 1d schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Stadien bei der Bildung einer Metallleitung und einer entsprechenden Deckschicht mit verbesserten Eigenschaften in Hinblick auf die Elektromigration gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung; und
  • 2a und 2b anschauliche Beispiele einer Simulationsberechnung zum Abschätzen der Konzentration eines diffusionshindernden oder diffusionsratenreduzierenden Materials in der Nähe einer Grenzfläche zwischen einer Metallleitung und einer entsprechenden Deckschicht gemäß weiterer anschaulicher Ausführungsformen der vorliegenden Erfindung
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Erfindung ist insbesondere vorteilhaft für die Herstellung moderner integrierter Schaltungen mit Kupferleitungen in entsprechenden Metallisierungsschichten, wobei die lateralen Abmessungen der Kupferleitungen in der Größenordnung von 130 nm oder sogar darunter liegen können, da dann die erforderlichen Stromdichten in diesen Kupferleitungen zu einer erhöhten Elektromigration des Kupfers führen können, woraus ein vorzeitiger Bauteilausfall oder eine reduzierte Bauteilleistungsfähigkeit resultieren kann. Somit ermöglicht die vorliegende Erfindung eine weitere Bauteilgrößenreduzierung von Halbleiterbauelementen auf Kupferbasis, wobei die Erfindung auch auf Halbleiterbauelemente mit größeren lateralen Abmessungen, wie sie oben spezifiziert sind, angewendet werden kann, womit ein Beitrag zu einer erhöhten Zuverlässigkeit derartiger Halbleiterbauelemente geleistet wird. Des weiteren können die Prinzipien der vorliegenden Erfindung ebenso vorteilhaft in Kombination mit anderen Metallen, die für die Herstellung von Metallleitungen in Halbleiterbauelementen als geeignet erachtet werden, angewendet werden. Zum Beispiel kann die vorliegende Erfindung vorteilhaft mit Kupferverbindungen, Aluminium und dergleichen eingesetzt werden. Es sollte daher beachtet werden, dass die vorliegende Erfindung nicht auf Bauteilabmessungen und Materialien eingeschränkt gesehen werden soll, sofern derartige Einschränkungen nicht explizit in den angefügten Patentansprüchen aufgeführt sind.
  • Mit Bezug zu den 1a bis 1d und den 2a und 2b werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • In 1a umfasst ein Halbleiterbauelement 100 ein Substrat 101, des ein oder mehrere Schaltungselemente auf Halbleiterbasis, etwa Transistoren, Widerstände, Kondensatoren, und dergleichen, aufweisen kann, wobei die Art des Halbleitermaterials, das in oder auf dem Substrat 101 vorgesehen ist, ein beliebiges Halbleiterelement oder eine Halbleiterverbindung aufweisen kann, die für die Herstellung integrierter Schaltungen geeignet ist. Da der überwiegende Teil der integrierten Schaltungen als Bauteile auf Siliziumbasis hergestellt wird, kann das Substrat 101 ein Siliziumsubstrat oder ein SOI-(Silizium auf Isolator)Substrat repräsentieren, auf dem eine Vielzahl von Schaltungselementen gebildet sind, die miteinander entsprechend dem Schaltungsaufbau mittels einer noch zu bildenden Metallleitung verbunden sind. Der Einfachheit halber sind derartige Schaltungselemente in dem Substrat 101 nicht gezeigt. Eine dielektrische Schicht 102, die aus einem beliebigen geeigneten dielektrischen Material, etwa Siliziumdioxid und/oder Siliziumnitrid, oder einem dielektrischen Material mit kleinem ε, etwa SiCOH, und dergleichen aufgebaut sein kann, ist über dem Substrat 101 gebildet. Die dielektrische Schicht 102 enthält eine Öffnung, die mit einem äußerst leitfähigen Material so gefüllt ist, um ein Metallgebiet 103 mit einem ersten Oberflächenbereich 105 und einem zweiten Oberflächenbereich 106, die einander gegenüberliegend angeordnet, zu bilden.
  • Wie zuvor erläutert ist, kann das Metallgebiet 103 Kupfer, Kupferverbindungen, Aluminium, Aluminiumverbindungen, oder ein anderes Material, das als geeignet erachtet wird, die geforderte Leitfähigkeit des Metallgebiets 103 bereitzustellen, aufgebaut sein. Vorzugsweise weist das Metallgebiet 103 im Wesentlichen Kupfer auf, da Kupfer gegenwärtig als der vielversprechendste Kandidat für die Herstellung äußerst leitfähiger Metallisierungsschichten erachtet wird. Die Seitenwand 103A des Metallgebiets und der zweite Oberflächenbereich 106 können von einer leitenden Barrierenschicht 104 bedeckt sein, um im Wesentlichen die Diffusion von Metall in das benachbarte Dielektrikum der Schicht 102 zu verhindern und/oder um dem Metallgebiet 103 die erforderliche Haftungsfähigkeit zu verleihen. Eine entsprechende leitfähige Barrierenschicht 104 ist vorzugsweise in Kombination mit Kupfer oder Verbindungen auf Kupferbasis vorzusehen, da Kupfer leicht in vielen dielektrischen Materialien, etwa Siliziumdioxid und Dielektrika mit kleinem ε diffundieren kann. Die leitfähige Barrierenschicht 104 kann aus zwei oder mehreren Teilschichten aufgebaut sein, um damit die Erfordernisse in Hinblick auf diffusionsverhindernde Eigenschaften und Adhäsionseigenschaften zu erfüllen.
  • Eine dielektrische Deckschicht 107 ist über der dielektrischen Schicht 102 und dem Metallgebiet 103 ausgebildet, wodurch eine Grenzfläche mit dem ersten Oberflächenbereich 105 gebildet wird. Die Deckschicht 107 kann aus einem geeigneten Material aufgebaut sein, das primär wirksam die Diffusion des Metalls des Metallgebiets 103 in benachbarte Bauteilgebiete, beispielsweise weitere Metallisierungsschichten, die noch über der Deckschicht 107 zu bilden sind, unterdrückt. Des weiteren kann die Deckschicht 107 zusätzlich als eine Ätzstoppschicht in einem nachfolgenden Strukturierungsprozess zur Herstellung von Kontaktdurchführungen dienen, die eine Verbindung zu noch zu bildenden darüber liegenden Metallisierungsschichten herstellen. Die Deckschicht 107 kann aus zwei oder mehreren Teilschichten aufgebaut sein, um somit den diversen Erfordernissen in Hinblick auf die diffusionsblockierende Wirkung und die Ätzselektivität und dergleichen zu erfüllen. In einigen Ausführungsformen kann die Deckschicht 107 im Wesentlichen aus Siliziumnitrid aufgebaut sein, das eine ausgezeichnete diffusionsbehindernde Wirkung für eine Vielzahl von Materialien einschließlich Kupfer und Verbindungen auf Kupferbasis aufweist. Des weiteren sind Ätzrezepte, die eine moderate Selektivität in Bezug auf Siliziumdioxid zeigen, gut bekannt und auf diesem Gebiet gut etabliert, so dass Siliziumnitrid häufig in Kombination mit Siliziumdioxid für die Herstellung von Metallisierungsschichten verwendet wird. In anderen Fällen, wenn die Permittivität des Dielektrikums, das die einzelnen Metallleitungen und Metallgebiete trennt, wichtig ist, können Materialien auf der Grundlage von Siliziumkarbid zur Herstellung der Deckschicht 107 verwendet werden. In einigen Ausführungsformen kann das Vorsehen unterschiedlicher Materialien entlang der Dicke der Deckschicht 107 geeignet sein, oder die Materialzusammensetzung kann variiert werden, um damit unterschiedliche Eigenschaften an dem ersten Oberflächenbereich 105 im Vergleich zu der freigelegten Oberfläche 107A der Deckschicht 107 zu erhalten. Eine Dicke der Deckschicht 107 kann von den Eigenschaften, d. h. von der Materialzusammensetzung und/oder dem Herstellungsverfahren zur Bildung der Deckschicht 107 abhängen, und kann in einigen Ausführungsformen zwischen ungefähr 10 nm und 70 nm liegen.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauteiles 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse umfassen. Nach dem Bereitstellen des Substrats 101, das die Herstellung diverser Schaltungselemente entsprechend gut etablierter Herstellungsprozesse beinhalten kann, wird die dielektrische Schicht 102 über dem Substrat 101 durch gut etablierte Prozesse hergestellt, die entsprechend den Eigenheiten der dielektrischen Schicht 102 ausgewählt werden. Beispielsweise kann die dielektrische Schicht 102 einen Siliziumdioxid/Siliziumnitrid/Schichtstapel mit einer dünnen Siliziumnitridschicht (nicht gezeigt), gefolgt von einer dicken Siliziumdioxidschicht aufweisen, wobei diese Schichten durch gut etablierte plasmaunterstützte chemische Dampfabscheide(CVD)techniken mit einer erforderlichen Dicke abgeschieden werden können, wobei die Siliziumnitridschicht als eine Ätzstoppschicht in einem nachfolgenden Strukturierungsprozess dienen kann. In anderen Ausführungsformen kann die dielektrische Schicht 102 durch Aufschleuderverfahren gebildet werden, wenn die dielektrische Schicht 102 im Wesentlichen aus einem Polymermaterial mit kleinem ε aufgebaut ist.
  • Danach wird die Öffnung in der dielektrischen Schicht 102 durch moderne Photolithographie- und anisotrope Ätztechniken gebildet, wobei, wie zuvor erläutert ist, eine entsprechende Ätzstoppschicht beim zuverlässigen Anhalten des anisotropen Ätzprozesses auf oder in der Ätzstoppschicht, die nachfolgend an entsprechenden Gebieten zur Herstellung von Verbindungen zu in dem Substrat 101 enthaltenen Schaltungselementen geöffnet werden kann, hilfreich ist. Anschließend wird die leitende Barrierenschicht 104 durch moderne physikalische Dampfabscheidung (PVD), chemische Dampfabscheidung, Atomlagendampfabscheidung und dergleichen abgeschieden. Wenn z. B. Kupfer verwendet wird, kann eine Tantal/Tantalnitriddoppelschicht mit einer Dicke im Bereich von ungefähr 5 bis 50 nm gebildet werden. Abhängig von dem Abscheideprozess zum Aufbringen des Metalls des Metallgebiets 103 kann eine Saatschicht (nicht gezeigt) auf der leitenden Barrierenschicht 104 abgeschieden werden, um die Metallabscheidung in einem nachfolgenden Plattierungsprozess zu fördern. Wenn z. B. Kupfer durch Elektroplattierung abzuscheiden ist, kann eine dünne Kupfersaatschicht durch Sputter-Abscheidung aufgebracht werden. Danach wird eine Metallschicht, beispielsweise mit Kupfer, Kupferverbindungen und dergleichen durch beispielsweise Elektroplattieren, stromloses Plattieren und dergleichen abgeschieden, um die Öffnung in der dielektrischen Schicht 102 zuverlässig zu füllen.
  • Typischerweise muss während des Abscheidevorganges überschüssiges Metall abgeschieden werden, um die Öffnung zuverlässig zu füllen, wobei die Metallreste dann durch beispielsweise chemisch-mechanisches Polieren (CMP) und/oder elektrochemisches Ätzen und/oder chemisches Ätzen entfernt werden müssen. Entsprechende Prozesse zum Entfernen von überschüssigem Metall von der dielektrischen Schicht 102 sind im Stand der Technik gut etabliert. Durch Entfernen des überschüssigen Metalls wird das Metallgebiet 103 mit seiner endgültigen Größe gebildet, wobei der erste Oberflächenbereich 105 durch den Abtragsprozess freigelegt wird. Anschließend kann die Deckschicht 107 gebildet werden, wobei entsprechende Reinigungsprozesse vor der Herstellung der Deckschicht 107 ausgeführt werden können, insbesondere, wenn das metallenthaltende Gebiet 103 Kupfer oder Verbindungen auf Kupferbasis aufweist, da der Oberflächenbereich 105 stark mit der Umgebung oder etwaigen reaktiven Komponenten, die noch auf dem Oberflächenbereich 105 nach dem Abtragsprozess vorhanden sind, reagiert. Selbst während des Entfernens des überflüssigen Metalls kann der freigelegte Oberflächenbereich 105 mit reaktiven Inhaltsstoffen beim CMP- und/oder dem Ätzprozess reagieren, oder kann einfach durch Kontakt mit der Umgebungsatmosphäre während des CMP-Prozesses oxidieren. insbesondere Kupfer neigt zur Ausbildung von Verfärbungen und Erosion an dem freigelegten Oberflächenbereich 105, weshalb daher ein Reinigungsprozess zum deutlichen Entfernen ungewünschter verfärbter und/oder oxidierter Bereiche erforderlich ist. Typischerweise wird der Abscheideprozess zur Bildung der Deckschicht 107 mit einem vorhergehenden Reinigungsprozess so kombiniert, dass der gereinigte Oberflächenbereich 105 unmittelbar von der Deckschicht 107 bedeckt wird, wodurch der Oberflächenbereich 105 passiviert und die erneute Bildung oxidierter Bereiche während der weiteren Herstellungsschritte reduziert oder vermieden wird. Die Deckschicht 107 kann durch plasmaunterstützte chemische Dampfabscheidung aus geeigneten Vorstufenmaterialien hergestellt werden, um die erforderliche Materialzusammensetzung der Deckschicht 107 zu erhalten. Wie zuvor erläutert ist, kann die Zusammensetzung der Abscheideatmosphäre so variiert werden, um in entsprechender Weise die Materialzusammensetzung zu variieren, um damit den Erfordernissen in Hinblick auf die diffusionsblockierende Fähigkeit und/oder die Ätzselektivität der Deckschicht 107 zu genügen. In anderen Ausführungsformen kann die Deckschicht 107 in zwei oder mehr separaten Abscheideschritten ausgebildet werden, wie dies detailliert mit Bezug zu 1c beschrieben ist.
  • Nach Bildung der Deckschicht 107 wird ein Implantationsprozess, der als 108 bezeichnet ist, durchgeführt, um die Eigenschaften des Metallgebiets 103 in der Nähe des ersten Oberflächenbereichs 105 zu modifizieren. Die Implantation 108 kann mit einem beliebigen geeigneten Material ausgeführt werden, das in der Deckschicht enthalten ist, das eine deutliche Reduzierung der Diffusionsrate für das Material des Metallgebiets 103 entlang der Grenzfläche 105a, die durch den Oberflächenbereich 105 und die Deckschicht 107 definiert ist, ermöglicht. Beispielsweise können sich kleine Hohlräume in dem Oberflächenbereich 105 während der Herstellung der Deckschicht 107 bilden, die einen Weg für einen deutlichen Materialtransport und damit Elektromigration bei Einprägung einer hohen Stromdichte bilden können. Durch Implantieren eines entsprechenden Materials in das Metallgebiet 103, können diese kleinen Hohlräume zumindest teilweise gefüllt werden und damit diese Diffusionswege wirksam blockiert werden. Ferner wird angenommen, dass Korngrenzen des Metallgebiets 103, etwa Kupferkorngrenzen, als Diffusionswege dienen, die ebenso wirksam durch Implantation eines entsprechenden Materials blockiert werden können. Des weiteren kann der Ionenbeschuss durch die Implantation 108 in wirksamer Weise die Kornstruktur in der Nähe des Oberflächenbereichs 105 zerstören, wodurch eine im Wesentlichen amorphe Schicht gebildet wird, um damit die Neigung zur Metalldiffusion zu reduzieren, da Korngrenzen als gute Diffusionswege bekannt sind. Ohne die vorliegende Erfindung auf eine der obigen Erläuterungen einschränken zu wollen, können die Implantationsparameter für die Implantation 108, etwa die Art des implantierten Materials, die Implantationsenergie, die Implantationsdosis und dergleichen so gewählt werden, um in wirksamer Weise den Oberflächenbereich 105 zu modifizieren, um damit eine reduzierte Elektromigrationstendenz des Metallgebiets 103 zu erreichen. Diese Implantationsparameter können durch entsprechende Messungen der Elektromigration unter spezifizierten Betriebsbedingungen für eine Vielzahl unterschiedlicher Parametereinstellungen ermittelt werden.
  • Das während der Implantation 108 eingebrachte Material kann ein beliebiges geeignetes Material sein, das in der Deckschicht enthalten ist, das eine reduzierte Diffusion im Vergleich zu dem Metall in dem Gebiet 103 aufweist, so dass dieses als ein diffusionshinderndes oder ein diffusionsratenreduzierendes Material wirken kann, wodurch eine Metalldiffusion entlang der Grenzfläche 105a zwischen dem Oberflächenbereich 105 und der Deckschicht 107 deutlich reduziert wird. Das diffusionshindernde Material wird so ausgewählt, um nicht unnötig die Eigenschaften der Deckschicht 107 zu ändern, da ein deutlicher Anteil an Atomen in der Deckschicht 107 verbleiben kann. Wenn beispielsweise die Deckschicht 107 Siliziumnitrid und/oder Siliziumkarbid aufweist, sind geeignete Kandidaten für das implantierte Material Silizium, Kohlenstoff oder Stickstoff. Die Verwendung eines dieser Materialien wird dabei nicht wesentlich die Eigenschaften der Deckschicht 107 beeinflussen, d. h. die chemische Zusammensetzung und die Phasenausbildung davon. Die Implantationsenergie der Implantation 108 kann auf der Grundlage der Dicke der Deckschicht 107 sowie auf der Grundlage der Materialzusammensetzung der Deckschicht 107 und jener des Metallgebiets 103 für ein ausgewähltes diffusionshinderndes Material ausgewählt werden. Eine entsprechende Abschätzung der Eindringtiefen, d. h. des vertikalen Implantationsprofils in der Deckschicht 107 und dem Metallgebiet 103, kann durch gut etablierte Simulationsmodelle ermittelt werden.
  • 2a und 2b zeigen schematisch entsprechende Rechenergebnisse für die Implantation 108 mit jeweils Silizium- und Stickstoffionen, wenn die Deckschicht 107 aus Siliziumnitrid mit einer Dicke von 30 nm aufgebaut ist und Kupfer das Material des Metallgebiets 103 ist.
  • 2a zeigt die Berechnungsergebnisse für die Siliziumimplantation bei Implantationsenergien von 30 keV, 40 keV und 50 keV, die jeweiligen projizierten Eindringtiefen von 30 nm, 36 nm und 41 nm entsprechen. Die Kurve A repräsentiert die Implantation 108 mit einer Energie von 30 keV, wobei die Kurve A andeutet, dass eine maximale Konzentration des Siliziums sehr nahe an der Grenzfläche 105a zwischen der Deckschicht 107 und dem Oberflächenbereich 105 liegt. Die Siliziumkonzentration fällt deutlich innerhalb einer Strecke von ungefähr 55 nm in dem Kupfer ab. In ähnlicher Weise zeigt die Kurve B, die einer Implantationsenergie von 40 keV entspricht, eine maximale Konzentration des Siliziums in dem Kupfer bei einem Abstand von weniger als ungefähr 10 nm, wobei eine deutliche Abnahme der Siliziumkonzentration in dem Kupfer bei ungefähr 65 nm erreicht wird. Die Kurve C repräsentiert die Implantation mit 50 keV, wobei die maximale Konzentration ungefähr bei 10 nm entfernt von der Grenzfläche angeordnet ist, und wobei ein merklicher Abfall der Siliziumkonzentration bei einem Abstand von mehr als ungefähr 70 nm erreicht wird.
  • 2b zeigt schematisch entsprechende Kurven A', B' und C', die sich auf Implantationsenergien für Stickstoff von 15 keV, 20 keV und 25 keV entsprechend einer projizierten Eindringtiefe von 28 nm, 34 nm und 39 nm beziehen. 2b zeigt ebenso, dass die Implantationsenergie geeignet auf der Grundlage des implantierten Materials, der Dicke und der Zusammensetzung der Deckschicht und der Zusammensetzung des Metallgebiets 103 so gewählt werden kann, um die maximale Konzentration des diffusionshindernden Materials dicht an der Grenzfläche zwischen der Deckschicht 107 und dem Oberflächenbereich 105 anzuordnen. Die entsprechenden Implantationsdosen, die zum Erreichen der dargestellten maximalen Konzentrationen erforderlich sind, sind ebenso in 2a und 2b dargestellt.
  • In einigen Ausführungsformen der vorliegenden Erfindung kann die maximale Konzentration für die diversen Materialien variiert werden, um die diffusionsblockierende Wirkung der diversen implantierten Materialien abzuschätzen. Dazu können eine Reihe von Testsubstraten hergestellt werden und es kann das entsprechende Maß an Elektromigration in Bezug auf die entsprechende maximale Konzentration einer Vielzahl unterschiedlicher implantierter Materialien bestimmt werden. Aus diesen Messergebnissen können entsprechende Implantationsparameter, etwa die Implantationsdosis und die Implantationszeit bestimmt werden, um damit die geforderte diffusionsbehindernde Wirkung zu erreichen. In ähnlicher Weise kann die Wirkung der implantierten Konzentration eines diffusionshindernden oder diffusionsratereduzierenden Materials auf die Leitfähigkeit des Metallgebiets 103 untersucht werden, indem die Konzentration und/oder die Implantationsenergie für eine Vielzahl von Materialien variiert wird, um die Wirkung unterschiedlicher Eindringtiefen und unterschiedlicher Konzentrationen auf den endgültigen elektrischen Widerstand des Metallgebiets 103 zu bestimmen. Auf der Grundlage dieser Messergebnisse und auf der Grundlage der vorhergehenden Messergebnisse, die die Wirkungen des Reduzierens der Elektromigration in Bezug auf die Konzentration und die Art des implantierten diffusionshindernden Materials quantitativ repräsentieren, kann dann die Implantation 108 speziell so gestaltet werden, um eine gewünschte Wirkung zu erreichen. Ferner kann eine Kontaminierung der Implantationsanlage, beispielsweise mit Kupfer, was ein ernstes Problem darstellt auf Grund der Fähigkeit des Kupfers leicht in empfindliche Bauteilgebiete zu diffundieren, in wirksamer reduziert oder eliminiert werden, da die Deckschicht 107 das Herausschlagen von Kupfer in die Prozesskammer der Implantationsanlage unterdrücken kann. Gleichzeitig verhindert die Deckschicht 107 wirksam eine weitere Reaktion des ersten Oberflächenbereichs 105 mit reaktiven Mitteln oder der umgebenden Atmosphäre.
  • 1b zeigt schematisch das Halbleiterbauelement 100 nach Beendigung des zuvor beschriebenen Ionenimplantationsprozesses 108. Somit weisen die Deckschicht 107, das Metallgebiet 103 und die dielektrische Schicht 102 Bereiche mit einem nicht vernachlässigbaren Anteil eines diffusionshindernden Materials, etwa Silizium, Stickstoff, Kohlenstoff, und dergleichen auf, wobei eine vertikale Ausdehnung der dotierten Bereiche dieser Bauteilgebiete durch die Implantation 108 und die Eigenschaften der Deckschicht 107, der dielektrischen Schicht 102 und des metallenthaltenden Gebiets 103 bestimmt ist. Wie in 1b angedeutet ist, kann sich ein dotierter bzw. implantierter Bereich 109 des Metallgebiets 103 in der Nähe des Oberflächenbereichs 105 von einer entsprechenden Eindringtiefe in der dielektrischen Schicht 102 auf Grund der sehr unterschiedlichen Materialien dieser Gebiete unterscheiden. Als Folge der Implantation 108 liefert das Gebiet 109 modifizierte Diffusionseigenschaften und damit Elektromigrationseigenschaften in dem Oberflächenbereich 105 und insbesondere an der Grenzfläche. Auf Grund des nahezu vollständigen Fehlens eines diffusionshindernden Materials an dem Oberflächenbereich 106, d. h. an der Grenzfläche des metallenthaltenden Gebiets 103 mit der darunter liegenden leitenden Barrierenschicht 104, falls diese vorgesehen ist, ist das Metallgebiet 103 durch eine hohe Konzentration des diffusionshindernden Materials an dem Oberflächenbereich 105, wodurch sich das Elektromigrationsverhalten deutlich ändert, und durch eine vernachlässigbare Konzentration dieses Materials an dem Oberflächenbereich 106 gekennzeichnet, wodurch die Leitfähigkeitseigenschaften des Metallgebiets 103 in einem wesentlichen Teil davon beibehalten bleiben. In einigen Ausführungsformen kann eine maximale Konzentration des diffusionshindernden Materials in dem Gebiet 109 innerhalb einer Stärke von ungefähr 20 nm bezüglich der Grenzfläche angeordnet werden. In anderen Ausführungsformen kann die maximale Konzentration des diffusionshindernden Materials in dem Metallgebiet 103 innerhalb einer Stärke von 10 nm in Bezug auf die Grenzfläche, die durch den Oberflächenbereich 105 und die Deckschicht 107 gebildet ist, liegen. Es sollte beachtet werden, dass die gesamte maximale Konzentration eines diffusionshindernden Materials, das durch die Implantation 108 eingeführt wird, nicht notwendigerweise in dem Metallgebiet 103 liegen muss, sondern in der Deckschicht 107 angeordnet sein kann (siehe die Kurven A' und B' in 2b).
  • Wie zuvor erläutert ist, kann es vorteilhaft in einigen Ausführungsformen sein, die Eigenschaften der Deckschicht 107 durch die Implantation 108 nicht unnötig zu beeinflussen, indem kompatible Materialien implantiert werden. In anderen Ausführungsformen kann jedoch die Art des durch die Implantation 108 eingebrachten Materials so gewählt werden, um bewusst der Deckschicht 107 gewünschte Eigenschaften zu verleihen oder um das Verhalten der Deckschicht 107 in gewünschter Weise zu ändern. Beispielsweise kann es vorteilhaft sein, einen erhöhten Anteil an Stickstoff in der Nähe des Oberflächenbereichs 105 vorzusehen, um beispielsweise die diffusionsblockierende Wirkung der Deckschicht 107 zu verbessern, sofern der Stickstoff auch in der Zusammensetzung der Deckschicht 107 enthalten ist. D. h., die Implantationsparameter können so gewählt werden, dass eine entsprechende Änderung der Deckschicht 107 erreicht wird, wobei dennoch die Eigenschaften des Metallgebiets 103 in dem Bereich 109 ausreichend modifiziert sind, um die gewünschte erhöhte Widerstandsfähigkeit gegen Elektromigration zu erreichen.
  • In anderen nicht erfindungsgemäßen Ausführungsformen kann die Deckschicht 107 oder ein Teil davon nach der Implantation 108 entfernt werden, wenn der implantationsinduzierte Schaden als unakzeptabel für die Eigenschaften der Deckschicht 107 für die weitere Bearbeitung des Halbleiterbauelements 100 erachtet wird. Nach dem Entfernen der Deckschicht 107 – entweder teilweise oder vollständig – kann die Deckschicht erneut mit einer erforderlichen Dicke abgeschieden werden, wobei die Eigenschaften der neu abgeschiedenen Schicht oder des Schichtbereichs nicht durch die zuvor ausgeführte Implantation 108 beeinflusst sind. Zum Beispiel können Ausführungsformen schwere Ionenarten während der Implantation 108, etwa Germanium, Xenon, Argon und dergleichen, verwendet werden, die in wirksamer Weise die Eigenschaften des Metallgebiets 103 in dem Bereich 109 mit einer Ausdehnung von weniger als 10 nm modifizieren können, während gleichzeitig jedoch beträchtlicher Schaden an der Deckschicht 107 hervorgerufen wird. In anderen nicht erfindungsgemäßen Ausführungsformen können Metallionen, beispielsweise Tantal, Titan und dergleichen in das Gebiet 103 implantiert werden, die für die dielektrische Schicht 107 als ungeeignet erachtet werden, und daher kann ein Teil oder im Wesentlichen die gesamte Schicht 107 entfernt werden und kann durch eine neu abgeschiedene Deckschicht ersetzt werden. Das Abtragen kann durch CMP und/oder reaktives Ionenätzen erreicht werden.
  • 1c zeigt schematisch das Halbleiterbauelement 100 gemäß einer weiteren anschaulichen Ausführungsform der vorliegenden Erfindung. Das Bauteil 100 ist ähnlich zu dem Bauteil, wie es in 1b gezeigt ist, wobei eine Deckschicht 107B mit einer deutlich geringeren Dicke vorgesehen ist. Die reduzierte Dicke der Deckschicht 107B kann so gewählt werden, um im Wesentlichen eine Oxidation des Oberflächenbereichs 105 während und nach der Implantation 108 zu vermeiden und um ferner eine Kontamination der Implantationsanlage während der Implantation 108 im Wesentlichen zu vermeiden. Die Implantationsparameter zum Erzeugen des dotieren Gebiets 109 können entsprechend an die reduzierte Dicke der Deckschicht 107B angepasst werden. Nach der Implantation 108 (siehe 1a) kann der Abscheideprozess zur Herstellung der Deckschicht wieder fortgesetzt werden, um die gewünschte endgültige Dicke zu erreichen.
  • 1d zeigt schematisch das Halbleiterbauelement 100, wobei die Deckschicht 107 die gewünschte Dicke und die gewünschte Materialzusammensetzung aufweist. Wie aus 1d ersichtlich ist, hat lediglich der Bereich 107B die Implantation 108 „erfahren”, während der verbleibende Teil der Deckschicht 107 keine implantationsinduzierten Schäden aufweist.
  • Es gilt also, die vorliegende Erfindung stellt eine Technik bereit, die die Herstellung einer Deckschicht auf einem Metallgebiet ermöglicht, wobei die Eigenschaften des Metallgebiets in der Nähe der Grenzfläche zwischen der Deckschicht und dem Metallgebiet so modifiziert werden, um verbesserte Eigenschaften in Hinblick auf die Elektromigration bereitzustellen. Die Modifizierung wird durch einen Implantationsprozess durch eine Deckschicht oder zumindest einen Teil davon hindurch erreicht, um im Wesentlichen eine Oberflächenreaktion des Metallgebiets mit reaktiven Stoffen oder der Umgebungsatmosphäre zu vermeiden, während gleichzeitig eine Kontaminierung der Implantationsanlage durch Material aus dem Metallgebiet im Wesentlichen vermieden wird. Der Implantationsprozess kann zu einem Auffüllen von Hohlräumen führen, wodurch eine wirksame Blockierung von Korngrenzen möglich ist. Des weiteren wird ein verbesserter Einschluss des Metallmaterials durch die Deckschicht erreicht.

Claims (8)

  1. Verfahren mit: Bilden eines Metallgebiets (103) über einem Substrat (101), wobei das Metallgebiet einen ersten Oberflächenbereich aufweist; Bilden einer Deckschicht (107, 107B) auf dem ersten Oberflächenbereich (105); und Implantieren (108) eines diffusionsratenreduzierenden Materials in das Metallgebiet nach dem Bilden der Deckschicht, wobei das diffusionsratenreduzierende Material ein Material aufweist, das in der Deckschicht enthalten ist.
  2. Das Verfahren nach Anspruch 1, das ferner umfasst: Einstellen von Implantationsparametern auf der Grundlage einer Materialzusammensetzung des Metallgebiets und der Deckschicht und auf der Grundlage einer Dicke der Deckschicht, um eine maximale Konzentration innerhalb des Metallgebiets des diffusionsratenreduzierenden Materials innerhalb ungefähr 20 nm von einer Grenzfläche aus anzuordnen, die durch den ersten Oberflächenbereich und die Deckschicht gebildet ist.
  3. Das Verfahren nach Anspruch 2, wobei die Implantationsparameter so ausgewählt werden, um die maximale Konzentration innerhalb einer Strecke von 10 nm gemessen von der Grenzfläche aus anzuordnen.
  4. Das Verfahren nach Anspruch 1, wobei das Metallgebiet Kupfer aufweist.
  5. Das Verfahren nach Anspruch 1, das ferner Bilden einer zweiten Deckschicht (107) auf der Deckschicht (107B) nach der Implantierung des diffusionsratenreduzierenden Materials umfasst.
  6. Das Verfahren nach Anspruch 1, das ferner Entfernen mindestens eines Teils der Deckschicht (107) nach der Implantierung des diffusionsreduzierenden Materials umfasst.
  7. Das Verfahren nach Anspruch 6, das ferner Bilden einer zweiten Deckschicht (107) nach Entfernen mindestens eines Teils der Deckschicht umfasst.
  8. Das Verfahren nach Anspruch 1, wobei das diffusionsratenreduzierende Material Silizium und/oder Kohlenstoff und/oder Stickstoff umfasst.
DE10339990A 2003-08-29 2003-08-29 Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung Expired - Lifetime DE10339990B8 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10339990A DE10339990B8 (de) 2003-08-29 2003-08-29 Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
US10/813,223 US7183629B2 (en) 2003-08-29 2004-03-30 Metal line having an increased resistance to electromigration along an interface of a dielectric barrier layer by implanting material into the metal line

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10339990A DE10339990B8 (de) 2003-08-29 2003-08-29 Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung

Publications (3)

Publication Number Publication Date
DE10339990A1 DE10339990A1 (de) 2005-03-31
DE10339990B4 true DE10339990B4 (de) 2012-11-22
DE10339990B8 DE10339990B8 (de) 2013-01-31

Family

ID=34202239

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10339990A Expired - Lifetime DE10339990B8 (de) 2003-08-29 2003-08-29 Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung

Country Status (2)

Country Link
US (1) US7183629B2 (de)
DE (1) DE10339990B8 (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004021239B4 (de) * 2004-04-30 2017-04-06 Infineon Technologies Ag Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren
US7989338B2 (en) * 2005-06-15 2011-08-02 Globalfoundries Singapore Pte. Ltd. Grain boundary blocking for stress migration and electromigration improvement in CU interconnects
US7781433B2 (en) * 2006-04-26 2010-08-24 Piramed Limited Pharmaceutical compounds
US7531384B2 (en) * 2006-10-11 2009-05-12 International Business Machines Corporation Enhanced interconnect structure
US20080258303A1 (en) * 2007-04-23 2008-10-23 Ming-Shih Yeh Novel structure for reducing low-k dielectric damage and improving copper EM performance
DE102007052048A1 (de) * 2007-10-31 2009-05-14 Advanced Micro Devices, Inc., Sunnyvale Doppelintegrationsschema für Metallschicht mit geringem Widerstand
US7737013B2 (en) * 2007-11-06 2010-06-15 Varian Semiconductor Equipment Associates, Inc. Implantation of multiple species to address copper reliability
DE102012109272B4 (de) * 2012-09-28 2022-07-28 PV TECH Plasma und Vakuum Technologie GmbH Nanostrukturierte offenporige Diffusionsschicht für die kontrollierte Abgabe von Kupferionen zum gezielten Abtöten von MRE-Keimen
US11791204B2 (en) * 2020-04-21 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with connecting structure having a doped layer and method for forming the same

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5960275A (en) * 1996-10-28 1999-09-28 Magemos Corporation Power MOSFET fabrication process to achieve enhanced ruggedness, cost savings, and product reliability
US6023100A (en) * 1997-07-23 2000-02-08 Advanced Micro Devices, Inc. Metallization stack structure to improve electromigration resistance and keep low resistivity of ULSI interconnects
WO2001008213A1 (en) * 1999-07-27 2001-02-01 International Business Machines Corporation REDUCED ELECTROMIGRATION AND STRESS INDUCED MIGRATION OF Cu WIRES BY SURFACE COATING
US6232244B1 (en) * 1997-12-18 2001-05-15 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
US6268291B1 (en) * 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
WO2001097283A1 (en) * 2000-06-14 2001-12-20 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity
US20020076925A1 (en) * 2000-12-18 2002-06-20 Marieb Thomas N. Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6518184B1 (en) * 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US20030118798A1 (en) * 2001-12-25 2003-06-26 Nec Electronics Corporation Copper interconnection and the method for fabricating the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001097083A1 (en) * 2000-06-12 2001-12-20 Epredix.Com Computer-implemented system for human resources management

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268291B1 (en) * 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
US5960275A (en) * 1996-10-28 1999-09-28 Magemos Corporation Power MOSFET fabrication process to achieve enhanced ruggedness, cost savings, and product reliability
US6023100A (en) * 1997-07-23 2000-02-08 Advanced Micro Devices, Inc. Metallization stack structure to improve electromigration resistance and keep low resistivity of ULSI interconnects
US6232244B1 (en) * 1997-12-18 2001-05-15 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
WO2001008213A1 (en) * 1999-07-27 2001-02-01 International Business Machines Corporation REDUCED ELECTROMIGRATION AND STRESS INDUCED MIGRATION OF Cu WIRES BY SURFACE COATING
WO2001097283A1 (en) * 2000-06-14 2001-12-20 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity
US20020076925A1 (en) * 2000-12-18 2002-06-20 Marieb Thomas N. Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US20030118798A1 (en) * 2001-12-25 2003-06-26 Nec Electronics Corporation Copper interconnection and the method for fabricating the same
US6518184B1 (en) * 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect

Also Published As

Publication number Publication date
DE10339990B8 (de) 2013-01-31
US20050046031A1 (en) 2005-03-03
DE10339990A1 (de) 2005-03-31
US7183629B2 (en) 2007-02-27

Similar Documents

Publication Publication Date Title
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102012111574B4 (de) Verfahren zum Ausbilden einer leitfähigen Dual-Damaszener-Kontaktstruktur undHerstellungsverfahren für eine Halbleitervorrichtung
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE112006000465B4 (de) Halbleiterbauelemente mit Barriereschichten für leitende Strukturmerkmale sowie zugehörige Herstellungsverfahren
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102007004867B4 (de) Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102010028460B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE112010003659T5 (de) Leitfähige Struktur für schmale Verbindungsöffnungen
DE19844451A1 (de) Sperrschicht und Herstellungsverfahren dafür
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE10339990B4 (de) Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102009046260A1 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen mit einer verbesserten Diffusionsbarriere
DE102004003863B4 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE102007004884A1 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102007035837A1 (de) Halbleiterbauelement mit einer Kornorientierungsschicht
DE102007053600B4 (de) Verfahren zur Herstellung eines Metalls direkt auf einer leitenden Barrierenschicht durch elektrochemische Abscheidung unter Anwendung einer sauerstoffarmen Umgebung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023532000

Ipc: H01L0021768000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023532000

Ipc: H01L0021768000

Effective date: 20120627

R020 Patent grant now final

Effective date: 20130223

R071 Expiry of right