DE112004002634B4 - Integrierte Schaltung, Verfahren zur Herstellung einer integrierten Schaltung und Verfahren zur Herstellung flacher Grabenisolationsstrukturen - Google Patents

Integrierte Schaltung, Verfahren zur Herstellung einer integrierten Schaltung und Verfahren zur Herstellung flacher Grabenisolationsstrukturen Download PDF

Info

Publication number
DE112004002634B4
DE112004002634B4 DE112004002634T DE112004002634T DE112004002634B4 DE 112004002634 B4 DE112004002634 B4 DE 112004002634B4 DE 112004002634 T DE112004002634 T DE 112004002634T DE 112004002634 T DE112004002634 T DE 112004002634T DE 112004002634 B4 DE112004002634 B4 DE 112004002634B4
Authority
DE
Germany
Prior art keywords
layer
silicon
semiconductor layer
trenches
deformed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112004002634T
Other languages
English (en)
Other versions
DE112004002634T5 (de
Inventor
Qi San Jose Xiang
James N. Pan
Jung-Suk Stanford Goo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE112004002634T5 publication Critical patent/DE112004002634T5/de
Application granted granted Critical
Publication of DE112004002634B4 publication Critical patent/DE112004002634B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

Verfahren zur Herstellung einer integrierten Schaltung mit Grabenisolationsgebieten in einem Substrat (15) mit einer ersten Schicht (16), wobei das Verfahren umfasst:
selektives Ätzen der ersten Schicht (16), um Öffnungen (34) zu bilden, die den Positionen der Grabenisolationsgebiete entsprechen;
Bilden eines verformten Halbleitermaterials (36) über der ersten Schicht (16), nach dem selektiven Ätzen der ersten Schicht (16), um die Öffnungen (34) zu bilden; und
Bilden eines isolierenden Materials (42) in den Öffnungen (34) nach dem Herstellen des verformten Halbleitermaterials (36) über der ersten Schicht (16), um die Grabenisolationsgebiete zu bilden.

Description

  • Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung einer integrierten Schaltung mit Grabenisolationsgebieten, Verfahren zur Herstellung flacher Grabenisolationsstrukturen und integrierter Schaltungen. Insbesondere betrifft die vorliegende Erfindung ein Verfahren zur Herstellung von Grabenisolationsstrukturen auf Substraten oder Schichten, die verformte Schichten, etwa verformtes Silizium, enthalten.
  • Integrierte Schaltungen (IC's) beinhalten eine Vielzahl an Transistoren, die auf einem Halbleitersubstrat hergestellt sind. Es sind diverse Verfahren zur Herstellung von Transistoren bekannt. Im Allgemeinen sind Transistoren voneinander durch isolierende Strukturen oder Trenn- bzw. Isolationsstrukturen getrennt.
  • US 6 673 696 B1 offenbart ein Verfahren zur Herstellung eines integrierten Schaltkreises, bei dem eine flache Isolationsgrabenstruktur verwendet wird. Die flache Isolationsgrabenstruktur wird in einem Schaltkreis, der verspanntes Silizium aufweist, verwendet. Eine Zwischenschicht für den Graben wird mit einem Hochtemperaturprozess ausgebildet, nachdem der Graben mit einem isolierenden Material gefüllt wurde. Das isolierende Material wird durch einen Niedertemperaturprozess erzeugt.
  • WO 03/094208 A2 offenbart ein Verfahren zum Isolieren benachbarter Transistoren in CMOS-Vorrichtungen, die verspannte Schichten aus Silizium und einer Silizium-Germanium-Verbindung aufweisen. Durch das Ausbilden elektrisch isolierender Barrierengebiete vor dem Ausbilden einer verspannten Siliziumschicht wird eine Diffusion von Germanium aus einer Schicht mit einer Silizium-Germanium-Verbindung in die Kanäle von Transistoren in einer Siliziumschicht während Hochtemperaturverarbeitungsschritten und eine dadurch erzeugte Verschlechterung der Leistungsfähigkeit von n-Kanal-Transistoren, die mit dem Verfahren ausgebildet werden, vermieden.
  • TAKAGI, S., et al: "Strained SOI technology for high-performance, low-power CMOS applications" In: SOLID STATE CIRCUITS CONFERENCE, 2003. DIGEST OF TECHNICAL PAPERS; ISSCC 2003 IEEE INTERNATIONAL SAN FRANCISCO, CA, USA 9-13 FEB. 2003, PISCATAWAY, NJ, USA, IEEE, S. 1-9 offenbart ein Verfahren zur Herstellung von MOSFETs mit verspanntem Silizium bzw. einer Silizium-Germanium-Verbindung, die auf einem Isolator angeordnet sind.
  • TAKAGI, S., et al.: "Device structure and electrically characteristics of strained-Si-on-insulator (strained-SOI) MOSFETs", MATERIAL SCIENCE AND ENGINEERING B, ELSEVIER SEQUOIA, LAUSANNE, CH, Vol. 89, no. 1-3, 14. Februar 2002, S. 1-9 offenbart einen MOSFET mit einer verspannten SOI-Struktur.
  • Ein Verfahren zur Herstellung von Transistoren auf einem Siliziumsubstrat beinhaltet den gut bekannten Prozess der lokalen Oxidation von Silizium (LOGOS). Ein konventioneller LOCOS-Prozess enthält typischerweise die folgenden vereinfachten Schritte. Zunächst wird eine Siliziumnitridschicht thermisch auf dem Siliziumsubstrat aufgewachsen. Im Allgemeinen erfordern konventionelle LOCOS-Prozesse eine thermisch gewachsene Siliziumnitridschicht mit hoher Qualität, um eine Materialablösung und weitere Prozessprobleme zu vermeiden. Anschließend wird unter Anwendung eines Lithographie- und Ätzprozesses die Nitridschicht selektiv entfernt, um ein Muster zu erzeugen, in welchem die Source/Drain-Bereiche des Transistors angeordnet sind. Nach dem Strukturieren der Source/Drain-Bereiche wird ein Feldoxid aufgewachsen. Da das Oxidwachstum an den Stellen unterbunden wird, in der die Nitridschicht weiterhin vorhanden ist, wächst das Feldoxid lediglich auf dem Siliziumsubstrat, das während des Strukturierungsschrittes für die Source/Drain-Bereiche freigelegt wird. Nachdem der Oxidwachstumsprozess beendet ist, werden die verbleibenden Bereiche der Nitridschicht entfernt, wodurch lediglich die oxidierten Source/Drain-Bereiche auf dem freigelegten Siliziumsubstrat zurückbleiben.
  • Ein weiterer Prozess zur Herstellung isolierender Strukturen und zum Definieren der Source/Drain-Gebiete ist ein Prozess für flache Grabenisolationen (STI). Ein konventioneller STI-Prozess umfasst typischerweise die folgenden vereinfachten Schritte. Zunächst wird eine Siliziumnitridschicht thermisch auf dem Siliziumsubstrat aufgewachsen oder darauf abgeschieden. Anschließend wird unter Anwendung eines Lithographie- und Ätzprozesses die Siliziumnitridschicht selektiv entfernt, um ein Muster zu erzeugen, in welchem die Source/Drain-Bereiche des Transistors angeordnet sind. Nach der Strukturierung der Source/Drain-Bereiche wird das Substrat geätzt, um Gräben zu bilden. Nachdem die Gräben hergestellt sind, wird eine Beschichtung thermisch auf den freiliegenden Oberflächen des Grabens aufgewachsen. Das Beschichtungsoxid wird typischerweise bei sehr hoher Temperatur in einer Chlorwasserstoff-(HCl)Säureumgebung gebildet. Ein isolierendes Material, etwa Siliziumdioxid (SiO2) wird ganzflächig über der Nitridschicht und dem Beschichtungsoxid innerhalb des Grabens abgeschieden. Das isolierende Material wird poliert, um eine ebene Oberfläche zu erzeugen. Die Nitridschicht wird nachfolgend entfernt, um die Oxidstrukturen innerhalb des Grabens beizubehalten.
  • Flachgrabenisolations-(STI)Strukturen werden in verformten Silizium(SMOS-)Prozessen eingesetzt. SMOS-Prozesse werden verwendet, um das Transistor-(MOSFET)Leistungsverhalten zu verbessern, indem die Ladungsträgerbeweglichkeit von Silizium erhöht wird, wodurch der Widerstand und die Leistungsaufnahme reduziert und der Durchlassstrom, das Frequenzverhalten und die Arbeitsgeschwindigkeit erhöht werden. Verformtes Siliziumnitrid wird typischerweise durch Aufwachsen einer Schicht aus Silizium auf einem Silizium/Germanium-Substrat oder Schicht gebildet.
  • Das Silizium/Germanium-Gitter, das zu dem Silizium/Germanium-Substrat gehört, besitzt im Allgemeinen eine größere Konstante als ein reines Siliziumgitter, wobei der Gitterabstand mit einem zunehmenden Anteil an Germanium größer wird. Da sich das Siliziumgitter an dem größeren Silizium/Germanium-Gitter ausrichtet, wird eine Zugverformung in der Siliziumschicht hervorgerufen. Die Siliziumatome werden im Wesentlichen voneinander weggezogen.
  • Ein entspanntes oder nicht verformtes Silizium besitzt ein Leitungsband, das sechs gleiche Valenzbänder enthält. Die Anwendung einer Zugverformung für das Silizium verursacht, dass vier der Valenzbänder in ihrer Energie ansteigen und zwei der Valenzbänder in der Energie absinken. Als Folge von Quanteneffekten wird die effektive Elektronenmasse 30% geringer, wenn die Bänder mit geringerer Energie durchlaufen werden. Somit bieten die Bänder mit geringerer Energie einen kleineren Widerstand für den Elektronenfluss. Ferner Wechselwirken die Elektronen mit einer geringeren Schwingungsenergie der Kerne der Siliziumatome, wodurch die Streurate 500 bis 1000 mal kleiner als in entspanntem Silizium ist. Folglich steigt die Ladungsbeweglichkeit deutlich in verformtem Silizium im Vergleich zu entspanntem Silizium an, wodurch ein Anstieg der Beweglichkeit um 80% oder mehr für Elektronen und 20% oder mehr für Löcher erreicht wird. Die Zunahme der Beweglichkeit bleibt für elektrische Felder bis zu 1,5 Megavolt/cm bestehen. Es wird angenommen, dass diese Faktoren eine größere Arbeitsgeschwindigkeit von 35% ohne eine weitere Verringerung der Bauteilgröße ermöglichen, oder eine 25%ige Verringerung der Leistungsaufnahme ermöglichen, ohne dass eine Beeinträchtigung des Leistungsverhaltens stattfindet.
  • In konventionellen SMOS-Prozessen wird eine verformte Siliziumschicht über einer Silizium/Germanium-Schicht vorgesehen und wird geätzt, so dass Gräben für die STI-Struktur geschaffen werden. Die Gräben erstrecken sich durch die verformte Siliziumschicht und zumindest teilweise in die Silizium/Germanium-Schicht. Es wird ein konventioneller STI-Beschichtungsoxidprozess angewendet, um eine Grabenbeschichtung zu bilden. Der konventionelle STI-Beschichtungsoxidprozess findet bei sehr hohen Temperaturen und einer HCl-Umgebung statt. Während dieses Prozesses wird das Ausgasen von Germanium gefördert, was die Ausbildung dünner Schichten beeinflussen kann, IC-Strukturen, Schichten und Anlagen kontaminieren kann und eine Germaniumansammlung oder „Anhäufung" an einer Grenzfläche der Beschichtung hervorrufen kann, wodurch Zuverlässigkeitsprobleme für die STI-Struktur auftreten können.
  • In konventionellen SMOS-Prozessen kann in der STI-Struktur ein Siliziumüberhang an dem Rand der STI-Struktur auftreten, da die Silizium/Germaniumschicht schneller geätzt wird als die verformte Siliziumschicht. Ferner wird die verformte Siliziumschicht während des Grabenbeschichtungs- und Füllprozesses in größerem Umfang konsumiert als die Silizium/Germanium-Schicht. Ferner kann in konventionellen SMOS-STI-Gräben eine STI-Seitenwandleckage auftreten.
  • Daher besteht ein Bedarf für eine STI-Struktur, die ohne Schädigung verformter Materialien oder Schichten hergestellt werden kann. Ferner besteht ein Bedarf für einen Prozess zur Herstellung von Oxid mit hoher Qualität mit guter Kompatibilität, der nicht den Problemen unterliegt, die mit verformten Materialien verknüpft sind. Des weiteren gibt es einen Bedarf für einen verbesserten SMOS-Grabenherstellungsprozess, der keinen Siliziumüberhang aufweist. Ferner gibt es einen Bedarf für einen Beschichtungsherstellungsprozess, der ein geringeres Maß an Germaniumausgasung zeigt. Des weiteren besteht ein Bedarf für einen STI-Prozess, der weniger anfällig ist für einen Konsum an verformtem Silizium und/oder STI-Seitenwandleckage und/oder Siliziumüberhang.
  • Es ist eine Aufgabe der vorliegenden Erfindung, ein Verfahren zur Herstellung einer integrierten Schaltung, ein Verfahren zur Herstellung flacher Grabenisolationsstrukturen und eine integrierte Schaltung bereitzustellen, mit deren Hilfe der obengenannte Bedarf befriedigt werden kann.
  • Erfindungsgemäß wird diese Aufgabe gelöst durch ein Verfahren zur Herstellung einer integrierten Schaltung gemäß Anspruch 1.
  • Weiter erfindungsgemäß wird diese Aufgabe durch ein Verfahren zur Herstellung flacher Grabenisolationsstrukturen gemäß Anspruch 5 gelöst.
  • Weiter erfindungsgemäß wird diese Aufgabe durch eine integrierte Schaltung gemäß Anspruch 8 gelöst.
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den abhängigen Ansprüchen definiert.
  • Beispielhafte Ausführungsformen können aus der folgenden detaillierten Beschreibung in Verbindung mit den begleitenden Zeichnungen besser verstanden werden, wobei gleiche Bezugszeichen gleiche Teile benennen, und wobei:
  • 1 eine schematische Querschnittsansicht eines Bereichs eines Halbleiter-auf-Isolator-(SOI) Substrats mit einer Oxidschicht, einer Hartmaskenschicht und einer Photolackschicht zur Verwendung in anschaulichen Ausführungsformen Prozesse für flache Grabenisolationen (STI), die in den 10 und 15 gezeigt sind, ist;
  • 2 eine Querschnittsansicht des in 1 gezeigten Bereichs, wobei ein lithographischer Strukturierungsschritt gezeigt ist;
  • 3 eine Querschnittsansicht des in 2 gezeigte Bereichs ist, wobei ein selektiver Ätzprozess für die Hartmaskenschicht gezeigt ist;
  • 4 eine Querschnittsansicht des in 3 gezeigten Bereichs ist, wobei ein selektiver Ätzschritt für die Oxidschicht dargestellt ist;
  • 5 eine Querschnittsansicht des in 4 gezeigten Bereichs ist, wobei ein selektiver Ätzschritt für eine Verbindungshalbleiterschicht, die zu dem SOI-Substrat gehört, dargestellt ist;
  • 6 eine Querschnittsansicht des in 5 gezeigten Bereichs ist, wobei ein Schritt zum Entfernen der Hartmaskenschicht und der Oxidschicht gezeigt ist;
  • 7 eine Querschnittsansicht des in 6 gezeigten Bereichs ist, wobei ein Schritt zur Herstellung eines verformten Halbleitermaterials gezeigt ist;
  • 8 eine Querschnittsansicht des in 7 gezeigten Bereichs ist, wobei der Schritt des Grabenfüllens gezeigt ist;
  • 9 eine Querschnittsansicht des in 7 gezeigten Bereichs ist, wobei der Schritt für die Gateherstellung und die Silizidierung dargestellt ist;
  • 10 ein allgemeines Blockdiagramm ist, das einen Flachgrabenisolationsprozess für den in den 1 bis 9 gezeigten Bereich darstellt;
  • 11 eine Querschnittsansicht eines Bereichs eines Halbleiter-auf-Isolator-Substrats in Verbindung mit beispielhaften Ausführungsformen des in 15 gezeigten Prozesses für flache Grabenisolationen (STI) ist, wobei die Schritte um Entfernen des Oxids und der Hartmaske gezeigt sind;
  • 12 eine Querschnittsansicht des in 11 gezeigten Bereichs ist, wobei der Schritt des Grabenfüllens dargestellt ist;
  • 13 eine Querschnittsansicht des in 12 gezeigten Bereichs ist, wobei der Schritt zur Herstellung verformten Siliziums gezeigt ist;
  • 14 eine Querschnittsansicht des in 13 gezeigten Bereichs ist, wobei der Schritt der Gateherstellung und der Silizidierung dargestellt ist; und
  • 15 ein allgemeines Blockdiagramm ist, das den Flachgrabenisolationsprozess für den in den 1 bis 5 und 11 bis 14 gezeigten Bereich darstellt.
  • 1 bis 15 zeigen Verfahren zur Herstellung einer integrierten Schaltung (IC) gemäß einer anschaulichen Ausführungsform. Vorteilhafterweise wird in dem Prozess 100 (10) und in dem Prozess 200 (15) das verformte Siliziummaterial nicht bereitgestellt, bis die Gräben in einer darunter liegenden Schicht hergestellt sind. Auf diese Weise werden Probleme, die mit dem Siliziumüberhang an dem STI-Rand, mit der Konsumierung verformten Siliziums für die Herstellung der STI-Struktur und der Seitenwandleckage der STI-Struktur verknüpft sind, verringert. Das verformte Material kann gemäß einer Vielzahl an Prozessen nach der Herstellung der Gräben in der darunter liegenden Schicht bereitgestellt werden.
  • Die Ausführungsformen des Verfahrens (Prozess 100), die in den 1 bis 9 gezeigt sind, verringern die Problematik der Germaniumausgasung und Ausdiffusion, die mit Silizi um/Germanium-Schichten einhergeht. In dem Prozess 100 wird eine verformte Silizium-Schicht an Seitenwänden des STI-Grabens verwendet.
  • Die Prozesse 100 und 200 können in einem Prozess für flache Grabenisolationen (STI) oder in einem anderen Prozess angewendet werden, in welchem eine Grabenisolation erforderlich ist und Germanium oder andere Substanzen verwendet werden, die einem Ausgasen bei hohen Temperaturen unterliegen. Vorteilhafterweise kann eine Oxidbeschichtung bei relativ geringer Temperatur gebildet werden und dennoch ein hochqualitatives Oxid mit guter Kompatibilität bereitgestellt werden. Ein Prozess bei tiefer Temperatur bezeichnet einen Prozess, der bei einer Temperatur von weniger als ungefähr 750 Grad C (beispielsweise bei oder unter 700 Grad C) ausgeführt wird.
  • In den 1 bis 10 sind Querschnittsansichten eines Bereichs 12 einer integrierten Schaltung (IC) gezeigt. Der Bereich 12 unterliegt dem Prozess 100 (10), um eine Flachgrabenisolations-(STI)Struktur herzustellen. Der Bereich 12 umfasst eine Oxidschicht 18, die über einem Substrat 15 vorgesehen ist. Das Substrat 15 umfasst eine Verbindungshalbleiterschicht 16 (beispielsweise Silizium/Germanium), die über einer vergrabenen Oxid-(BOX)Schicht 14 und einer Basisschicht 13 vorgesehen ist. Das Substrat 15 ist vorzugsweise ein Halbleiter-auf-Isolator-(SOI)Substrat mit der Schicht 16.
  • Die Basisschicht 13 ist optional und der Bereich 12 kann mit der BOX-Schicht 14 als die unterste Schicht bereitgestellt werden. Die Basisschicht 13 des Substrats 15 kann aus dem gleichen Material oder einem anderen Material als die Schicht 16 aufgebaut sein. In einer Ausführungsform ist die Basisschicht 13 ein Halbleitersubstrat, etwa ein Siliziumsubstrat, auf der die Schicht 14 als eine Siliziumdioxidschicht aufgewachsen oder abgeschieden wurde. Die Schicht 16 wird physikalisch über der Schicht 14 aufgewachsen oder abgeschieden. Die Schicht 16 wird nicht notwendigerweise direkt über der Schicht 14 abgeschieden. Alternativ kann das Substrat 15 käuflich von einem Scheibenzulieferer erworben werden.
  • Der Bereich 12 kann eine beliebige Art eines Halbleiterbauelements oder ein Teil davon sein, und kann durch eine Vielzahl an Halbleiterprozessen, etwa einem komplementären Metalloxid-Halbleiter-(CMOS)Prozess, einem bipolaren Prozess oder andere Haibleiter- Prozesse hergestellt werden. Der Bereich 12 kann ein vollständiges IC sein oder ein Teil eines IC's und kann mehrere elektronische Komponentenbereiche beinhalten.
  • Die Schicht 16 ist vorzugsweise ein Silizium/Germanium-Material oder ein anderes Halbleitermaterial mit Germanium, und kann mit p-Dotiermitteln oder n-Dotiermitteln dotiert werden. Die Schicht 16 kann eine Epitaxie-Schicht sein, die auf einem Halbleiter oder einem isolierenden Basismaterial, etwa der Schicht 14, bereitgestellt wird. Ferner ist die Schicht 16 vorzugsweise eine Zusammensetzung aus Silizium und Germanium (Si1-XGex, wobei X ungefähr 0,2 ist und im Allgemeinen im Bereich von 0,1 bis 0,3 liegt). Die Schicht 14 kann aufgewachsen, abgeschieden oder durch Ionenimplantation über der Basisschicht 13 gebildet werden. Die Schicht 14 ist vorzugsweise ungefähr 20 bis 200 nm dick. Gemäß alternativer Ausführungsformen kann die Schicht 14 eine Dicke von ungefähr 50 bis 200 nm aufweisen (wenn beispielsweise die Schicht 14 eine SIMOX-Schicht ist, d. h. Sauerstoffimplantation in Silizium).
  • In einer Ausführungsform wird die Schicht 16 über der Basisschicht 13 durch chemische Dampfabscheidung (CVD) unter Anwendung von Disilan (Si2H6) und Germaniumwasserstoff (GeH4) als Quellengase bei einer Substrattemperatur von 650 Grad C, einem Disilan-Partialdruck von 30 mPa und einen Germaniumwasserstoffpartialdruck von 60 mPa aufgewachsen. Das Aufwachsen der Silizium/Germanium-Materials kann unter Anwendung dieser Verhältnisse initiiert werden, oder alternativ kann der Partialdruck des Germaniums allmählich gesteigert werden, beginnend von einem geringen Druck oder einem Druck Null, um einen Gradienten in der Zusammensetzung zu bilden. Alternativ kann die Siliziumschicht durch Ionenimplantation mit Germanium dotiert werden, oder es können andere Prozesse eingesetzt werden, um die Schicht 16 zu bilden. Vorzugsweise wird die Schicht 16 durch Epitaxie mit einer Dicke von weniger als ungefähr 2 Mikrometer (und vorzugsweise von ungefähr 0,5 Mikrometer bis 2 Mikrometer) aufgewachsen.
  • Ein Oxidfilm oder eine Oxidschicht 18 wird über der Schicht 16 vorgesehen. Die Schicht 18 ist optional. Die Schicht 18 wird vorzugsweise thermisch auf der Schicht 16 mit einer Dicke von ungefähr 10 bis 30 mm aufgewachsen. Die Schicht 18 dient als eine Pufferschicht und kann thermisch in einem konventionellen Hochtemperaturprozess durch Aufheizung auf ungefähr 1000 Grad C in einer sauerstoffenthaltenden Atmosphäre thermisch aufgewachsen werden.
  • Eine Barrieren- oder Hartmaskenschicht 22 wird über der Oxidschicht 18 vorgesesehen. Vorzugsweise ist die Maskenschicht 22 aus Siliziumnitrid (Si3N4) hergestellt, die mit einer Dicke von ungefähr 30 bis 100 nm durch Abscheidung, CVD oder einen thermischen Wachstumsprozess gebildet wird. Eine plasmaunterstützte chemische Dampfabscheidung (PECVD) bei geringem Druck kann ebenso angewendet werden. Es kann ein konventioneller thermischen Nitridprozess unter Anwendung von Dichlorsilan (SiH2Cl2), Ammoniak (NH3) und Stickstoff (N2) als Mischung bei einer hohen Temperatur (beispielsweise 600 Grad C oder darüber) angewendet werden. Der PECVD-Prozess zum Abscheiden von Nitrid basiert auf Silan (SiH4), Stickstoff (N2) und Ammoniak (NH3) mit einer Leistung von ungefähr 550 bis 650 Watt bei 400 Grad C. Es kann ein Plasma mit einer Ammoniak (NH3)/Silan(SiH4/N2)-Mischung im Gegensatz zu N2NH3/SiCl2H2, wie dies in konventionellem CVD oder Wachstumsprozess eingesetzt wird, angewendet werden, um die Maskenschicht 22 herzustellen.
  • Eine Photolackschicht 24 wird über der Maskenschicht 22 (beispielsweise durch Aufschleudern) bereitgestellt. Vorzugsweise ist die Photolackschicht 24 ein kommerziell erhältlicher I-Linienphotolack oder ein Lack für den tiefen UV-Bereich (Shipley Corp., MA) SPR 955 (i-Linie) UV5 (tiefes UV). In den 1 bis 2 wird die Photolackschicht 24 mittels eines photolithographischen Prozesses unter Anwendung einer Maske oder eines Retikels 28 selektiv entfernt, um Öffnungen 34 gemäß dem Schritt 102 (10) des Prozesses 100 zu bilden.
  • In 3 ist die Maskenschicht 22 vorzugsweise ein Hartmaske und wird mittels eines Trockenätzprozesses geätzt, so dass die Öffnungen 34 die Oxidschicht 18 gemäß einem Schritt 104 des Prozesses 100 (10) erreichen. Der Trockenätzprozess ist selektiv zu Siliziumnitrid im Hinblick auf die Oxidschicht 18. Die Schicht 14 kann nach dem Ätzen der Schicht 22 entfernt werden.
  • In 4 wird der Ätzprozess geändert, um durch das Siliziumdioxidmaterial zu ätzen, und die Schicht 18 wird so geätzt, dass die Öffnungen 34 die Schicht 16 gemäß dem Schritt 104 des Prozesses 100 (10) erreichen. Die Schicht 18 kann in einem Trockenätzprozess geätzt werden. Alternativ können andere Ätzverfahren eingesetzt werden, um ausgewählte Bereiche der Schicht 18 zu entfernen. Die Photolackschicht 24 (1) kann vor o der nach dem Ätzen der Oxidschicht 18 entfernt werden. Die Schicht 22 kann ebenso nach dem Ätzen der Schicht 18 entfernt werden.
  • In 5 wird der Ätzprozess geändert, um durch das Verbindungshalbleitermaterial der Schicht 16 zu ätzen. Die Schicht 16 kann mittels eines Trockenätzprozesses so entfernt werden, dass die Öffnungen 34 eine Oberseite der Schicht 14 erreichen. Die Öffnungen 34 können eine beliebige geeignete Breite für STI-Strukturen aufweisen. In einer Ausführungsform sind die Öffnungen 34 vorzugsweise ungefähr 150 nm bis 300 nm breit, abhängig von dem Technologiestandard. Die Schicht 16 wird durch die Öffnungen 34 geätzt, um Gräben für Flachgrabenisolationsstrukturen gemäß dem Schritt 104 des Prozessors 100 (10) zu bilden. Die Gräben besitzen vorzugsweise eine Breite entsprechend den Öffnungen 34. Die Gräben besitzen vorzugsweise eine Tiefe von ungefähr 50 bis 30 nm (abhängig von der Dicke der Schicht 16) und eine Breite von 150 bis 300 nm. Die Gräben können eine trapezförmige Querschnittsform aufweisen, wobei der schmälere Bereich an der Unterseite liegt. In einer alternativen Ausführungsform besitzen die Gräben eine mehr rechteckförmige Querschnittskonfiguration.
  • Obwohl zuvor eine Trockenätzung beschrieben ist, können die Gräben durch einen beliebigen Prozess hergestellt werden, der zur Bereitstellung der Öffnungen in der Schicht 16 geeignet ist. In einer Ausführungsform werden die Öffnungen für die Gräben zur Schicht 14 vorgesehen. Alternativ können die Unterseite der Gräben, die zu den Öffnungen 34 gehören, die Schicht 14 nicht erreichen, abhängig von der Dicke der Schicht 16.
  • In 6 werden die Schichten 18 und 22 entfernt (d. h. abgeschafft). Die Schichten 18 und 22 können unter Anwendung eines konventionellen Prozesses entfernt werden. In 7 wird das verformte Halbleitermaterial 36 über der Schicht 16 gemäß dem Schritt 106 des Prozesses 100 (10) bereitgestellt. Die Schicht 36 besitzt vorzugsweise eine Dicke von 10 bis 20 nm und ist eine Siliziumschicht mit Zugverformung. Die Schicht 16 kann durch chemische Dampfabscheidung (CVD) unter Anwendung von Silan, Disilan oder Dichlorsilan bei einer Temperatur von 500 Grad C bis 650 Grad C oder durch Molekularstrahlepitaxie (MBE) hergestellt werden.
  • In einer bevorzugten Ausführungsform sind Seitenwände der zu den Öffnungen 34 gehörenden Gräben mit der Schicht 36 bedeckt. Vorteilhafterweise kann die Schicht 36 eine Germaniumdiffusion, die mit dem STI-Grabenprozess verbunden sein kann, verhindern, da die Schicht die Verbindungshalbleiterschicht 16 bedeckt. Die Schicht 36 kann durch eine Reihe von Prozessen einschließlich von CVD und MBE abgeschieden werden und kann eine entsprechende Vielzahl an Abmessungen aufweisen.
  • Beschichtungen (nicht gezeigt) können an den Gräben, die zu den Öffnungen 34 gehören, hergestellt werden, nachdem die Schicht 36 vorgesehen ist. Vorzugsweise repräsentieren die Beschichtungen ein Oxidmaterial (beispielsweise Siliziumoxid oder Siliziumdioxid), das in einem Prozess mit geringer Temperatur hergestellt wird. In einer Ausführungsform besitzen die Beschichtungen eine Dicke von ungefähr 5 bis 20 nm und werden über der Unterseite und an den Seitenwänden des Grabens vorgesehen.
  • In einer weiteren Ausführungsform können die Beschichtungen in einem plasmaunterstützten chemischen Dampfabscheideprozess bei geringem Druck (LPCVD) oder einem Oxidabscheideprozess mit hochdichtem Plasma (HDP) ähnlich zu dem zuvor erläuterten CVD-Prozess hergestellt werden. Vorzugsweise wird in den Abscheideprozessen kein NH3 verwendet, stattdessen wird Silan bei einer Temperatur unter 700 Grad C angewendet.
  • In 8 wird eine Schicht aus isolierendem Material 42 ganzflächig über dem Material 36 und innerhalb der Gräben, die zu den Öffnungen 34 gehören, gemäß einem Schritt 108 des Prozesses 100 abgeschieden. Das isolierende Material 42 ist vorzugsweise Siliziumdioxid, das in einem CVD-Prozess aufgebracht wird. Vorzugsweise wird das isolierende Material 42 in einem Tetraethylorthosilikat-(TEOS)Prozess abgeschieden. Alternativ kann ein Borphosphatsilikonglas-(BPSG)Prozess eingesetzt werden. Das isolierende Material 42 ist vorzugsweise ungefähr 200 bis 800 nm dick.
  • Das isolierende Material 42 wird durch Polieren und/oder Ätzen entfernt, bis eine obere Fläche des Materials 36 erreicht ist. Das Entfernen des isolierenden Materials 42 lässt isolierendes Material innerhalb der Gräben, die zu den Öffnungen 34 gehören, zurück. Das isolierende Material 42 kann durch eine Reihe von Entfernungs- oder Ätzprozessen abgetragen werden. Vorzugsweise wird das isolierende Material 42 von überhalb dem Material 36 durch einen Trockenätzprozess entfernt.
  • Nachdem das isolierende Material 42 in den zu den Öffnungen 34 gehörenden Gräben bereitgestellt ist, kann eine Gatestruktur 48 vorgesehen werden. Die Gatestruktur 48 kann eine konventionelle MOSFET-Gatestruktur sein, etwa eine Struktur mit Metall, mit einem Gateoxid, oder mit Polysilizium über dem Gateoxid.
  • Es wird eine Silizidierung angewendet, um Silizidschichten 46 an den Positionen des Source und des Drains des zu der Gatestruktur 48 gehörenden Transistors zu bilden. Die Schichten 46 können durch einen konventionellen Germano-Silizidierungsprozess unter Anwendung von Nickel hergestellt werden.
  • Mit Bezug zu den 1 bis 5 und 11 bis 15 wird der Prozess 200, der ähnlich zum Prozess 100 ist, erläutert. Der Prozess 200 beinhaltet im Wesentlichen die gleichen Schritte wie der Prozess 100, der zuvor mit Bezug zu den 1 bis 5 beschrieben ist. Im Prozess 200 wird eine Photolackschicht 24 über der Verbindungshalbleiterschicht 16 im Schritt 202 strukturiert und die Verbindungshalbleiterschicht wird geätzt, um einen Graben im Schritt 204 zu bilden (siehe 1 bis 5).
  • Gemäß 11 können Öffnungen 134 in der Schicht 16 geringfügig kleiner sein als die Öffnungen 34, die zuvor mit Bezug zu den 2 bis 9 erläutert sind. Vorzugsweise besitzen die Öffnungen 134 eine Breite von ungefähr 150 bis 300 nm und besitzen eine ähnliche Tiefe wie die Öffnungen 34, die zuvor erläutert sind. Eine Maskenschicht 118 (beispielsweise eine Oxidmaskenschicht oder Nitridmaskenschicht, wie sie etwa mit Bezug zu den Schichten 18 und 22 zuvor beschrieben ist) wird über der Schicht 16 vorgesehen. Die Maskenschicht 118 besitzt eine Dicke, die im Wesentlichen ähnlich ist wie für ein verformtes Material 126 (13), das nachfolgend vorgesehen wird.
  • Wie in 12 gezeigt ist, werden im Schritt 207 des Prozesses 200 die zu den Öffnungen 134 gehörenden Gräben mit isolierendem Material 142 gefüllt. Das isolierende Material 142 kann ähnlich zu dem isolierenden Material 42 sein, das zuvor mit Bezug zu 8 erläutert ist. Die zu den Öffnungen 134 gehörigen Gräben können durch eine Vielzahl an Prozessen gefüllt werden, wozu Prozesse gehören, die zuvor unter Bezugnahme auf das Auffüllen der zu den Öffnungen 34 gehörigen Gräben beschrieben sind. Das isolierende Material füllt den Graben bis zu einer oberen Fläche der Maskenschicht 118. Gemäß einer anschaulichen Ausführungsform wird das isolierende Material auch über der Oberfläche der Masken schicht 118 bereitgestellt und bis zur Oberfläche der Maskenschicht 118 poliert oder zurückgeätzt.
  • Wie in 13 gezeigt ist, wird im Schritt 209 des Prozesses 200 die Maskenschicht 118 entfernt und es wird ein verformtes Material 136 durch selektive Siliziumepitaxie über der Schicht 16 gebildet. Da die Dicke der Schichten 118 und 136 ähnlich ist, erstreckt sich das isolierende Material 142 bis zu einer oberen Fläche des verformten Materials 136. Anders als in der Ausführungsform des Prozesses 100, die mit Bezug zu den 1 bis 10 erläutert ist, wird das Material 136 nicht an den zu dem Graben gehörenden Seitenwänden vorgesehen.
  • Mit Bezug zu 14 wird eine Gatestruktur 48 bereitgestellt und eine Silizidschicht 46 wird an den Positionen vorgesehen, die zu den Source/Drain-Gebieten des zu der Gatestruktur 48 gehörenden Transistors gehören. Die Gatestruktur 48 wird im Schritt 212 gebildet, und eine Silizidschicht 46 wird im Schritt 213 gebildet.

Claims (10)

  1. Verfahren zur Herstellung einer integrierten Schaltung mit Grabenisolationsgebieten in einem Substrat (15) mit einer ersten Schicht (16), wobei das Verfahren umfasst: selektives Ätzen der ersten Schicht (16), um Öffnungen (34) zu bilden, die den Positionen der Grabenisolationsgebiete entsprechen; Bilden eines verformten Halbleitermaterials (36) über der ersten Schicht (16), nach dem selektiven Ätzen der ersten Schicht (16), um die Öffnungen (34) zu bilden; und Bilden eines isolierenden Materials (42) in den Öffnungen (34) nach dem Herstellen des verformten Halbleitermaterials (36) über der ersten Schicht (16), um die Grabenisolationsgebiete zu bilden.
  2. Verfahren nach Anspruch 1, wobei das verformte Halbleitermaterial (36) an Seitenwänden der Öffnungen (34) gebildet wird.
  3. Verfahren nach Anspruch 1, wobei das verformte Halbleitermaterial (36) Silizium ist und wobei die erste Schicht (16) aus Silizium/Germanium aufgebaut ist.
  4. Verfahren nach Anspruch 1, wobei die erste Schicht über einer BOX-Schicht (14) angeordnet ist.
  5. Verfahren zur Herstellung flacher Grabenisolationsstrukturen in einer Verbindungshalbleiterschicht (16) über einer vergrabenen Oxid-(BOX)Schicht (14), wobei das Verfahren umfasst: Bereitstellen einer Hartmaskenschicht (22) über der Verbindungshalbleiterschicht (16); Entfernen der Hartmaskenschicht (22) an gewissen Positionen; Bilden von Gräben (34) in der Verbindungshalbleiterschicht (16) unter den gewissen Positionen; Entfernen der Hartmaskenschicht (22); Bilden einer verformten Halbleiterschicht (36) über der Verbindungshalbleiterschicht (16) nach dem Bilden der Gräben (34); und Bereitstellen eines Isolationsmaterials (42) in den Gräben (34) nach dem Bilden der verformten Halbleiterschicht (36), um die flachen Grabenisolationsstrukturen zu bilden.
  6. Verfahren nach Anspruch 5, das ferner Bereitstellen einer Silizidschicht (46) über der verformten Halbleiterschicht (36) umfasst.
  7. Verfahren nach Anspruch 5, das ferner Bereitstellen einer Beschichtung in den Gräben (34) bei geringer Temperatur unter 750 Grad C umfasst.
  8. Integrierte Schaltung mit: einer Verbindungshalbleiterschicht (16); einer vergrabenen Oxid-(BOX)Schicht (14) unter der Verbindungshalbleiterschicht (16); einer verformten Halbleiterschicht (36) über der Verbindungshalbleiterschicht (16); und Isolationsgräben (34), die in der Verbindungshalbleiterschicht (16) angeordnet sind, wobei die Isolationsgräben (34) isolierendes Material (42) als Seitenwände aufweisen, wobei die Seitenwände der Isolationsgräben (34) zumindest teilweise von der verformten Halbleiterschicht (36) bedeckt sind.
  9. Integrierte Schaltung nach Anspruch 8, die ferner eine Gatestruktur (48) zwischen den Isolationsgräben (34) aufweist.
  10. Integrierte Schaltung nach Anspruch 8, wobei die verformte Halbleiterschicht (36) Silizium aufweist und wobei die Verbindungshalbleiterschicht Silizium/Germanium aufweist und die Gräben (34) sich von der verformten Halbleiterschicht (36) an einer Oberseite zu der vergrabenen Oxidschicht (14) an der Unterseite erstrecken.
DE112004002634T 2004-01-12 2004-12-21 Integrierte Schaltung, Verfahren zur Herstellung einer integrierten Schaltung und Verfahren zur Herstellung flacher Grabenisolationsstrukturen Active DE112004002634B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/755,602 2004-01-12
US10/755,602 US7462549B2 (en) 2004-01-12 2004-01-12 Shallow trench isolation process and structure with minimized strained silicon consumption
PCT/US2004/043107 WO2005071738A2 (en) 2004-01-12 2004-12-21 Shallow trench isolation process and structure

Publications (2)

Publication Number Publication Date
DE112004002634T5 DE112004002634T5 (de) 2006-12-28
DE112004002634B4 true DE112004002634B4 (de) 2008-08-14

Family

ID=34739605

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112004002634T Active DE112004002634B4 (de) 2004-01-12 2004-12-21 Integrierte Schaltung, Verfahren zur Herstellung einer integrierten Schaltung und Verfahren zur Herstellung flacher Grabenisolationsstrukturen

Country Status (8)

Country Link
US (2) US7462549B2 (de)
JP (1) JP2007518273A (de)
KR (1) KR101183271B1 (de)
CN (1) CN100477153C (de)
DE (1) DE112004002634B4 (de)
GB (1) GB2425889B (de)
TW (1) TWI361459B (de)
WO (1) WO2005071738A2 (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
US20060094171A1 (en) * 2004-11-04 2006-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation trench thermal annealing method for non-bulk silicon semiconductor substrate
FR2888665B1 (fr) * 2005-07-18 2007-10-19 St Microelectronics Crolles 2 Procede de realisation d'un transistor mos et circuit integre correspondant
US7803690B2 (en) 2006-06-23 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy silicon on insulator (ESOI)
US20100019322A1 (en) * 2008-07-23 2010-01-28 International Business Machines Corporation Semiconductor device and method of manufacturing
US8916950B2 (en) * 2011-10-18 2014-12-23 International Business Machines Corporation Shallow trench isolation structure having a nitride plug
US9601385B1 (en) 2016-01-27 2017-03-21 International Business Machines Corporation Method of making a dual strained channel semiconductor device
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
TWI700778B (zh) * 2019-06-19 2020-08-01 台灣茂矽電子股份有限公司 半導體基板邊緣處理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003094208A2 (en) * 2002-04-29 2003-11-13 University Of Newcastle Upon Tyne Method of isolating adjacent components of a semiconductor device
US6673696B1 (en) * 2003-01-14 2004-01-06 Advanced Micro Devices, Inc. Post trench fill oxidation process for strained silicon processes

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4666556A (en) 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
KR920020676A (ko) 1991-04-09 1992-11-21 김광호 반도체 장치의 소자분리 방법
US5254873A (en) 1991-12-09 1993-10-19 Motorola, Inc. Trench structure having a germanium silicate region
JP3202018B2 (ja) * 1991-12-17 2001-08-27 ギスト ブロカデス ナムローゼ フェンノートシャップ 高濃度のアスタキサンチンと低濃度の3−ヒドロキシ−3’、4’−ジデヒドロ−β、Ψ−カロテン−4−オン(HDCO)を含むパフィア ロドツィマの新規株
US5266813A (en) * 1992-01-24 1993-11-30 International Business Machines Corporation Isolation technique for silicon germanium devices
EP0631306B1 (de) 1993-06-23 2000-04-26 Siemens Aktiengesellschaft Verfahren zur Herstellung von einem Isolationsgraben in einem Substrat für Smart-Power-Technologien
US5406111A (en) 1994-03-04 1995-04-11 Motorola Inc. Protection device for an intergrated circuit and method of formation
JP3271453B2 (ja) 1994-12-28 2002-04-02 三菱電機株式会社 半導体装置における素子分離領域の形成方法
US5455194A (en) 1995-03-06 1995-10-03 Motorola Inc. Encapsulation method for localized oxidation of silicon with trench isolation
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5793090A (en) 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Integrated circuit having multiple LDD and/or source/drain implant steps to enhance circuit performance
US5837612A (en) 1997-08-01 1998-11-17 Motorola, Inc. Silicon chemical mechanical polish etch (CMP) stop for reduced trench fill erosion and method for formation
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US6306722B1 (en) 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US6013937A (en) 1997-09-26 2000-01-11 Siemens Aktiengesellshaft Buffer layer for improving control of layer thickness
US5882983A (en) 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
KR100248888B1 (ko) 1998-01-07 2000-03-15 윤종용 트랜치 격리의 형성 방법
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6080618A (en) 1998-03-31 2000-06-27 Siemens Aktiengesellschaft Controllability of a buried device layer
US6214696B1 (en) 1998-04-22 2001-04-10 Texas Instruments - Acer Incorporated Method of fabricating deep-shallow trench isolation
US6168961B1 (en) 1998-05-21 2001-01-02 Memc Electronic Materials, Inc. Process for the preparation of epitaxial wafers for resistivity measurements
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6265282B1 (en) 1998-08-17 2001-07-24 Micron Technology, Inc. Process for making an isolation structure
US6074931A (en) 1998-11-05 2000-06-13 Vanguard International Semiconductor Corporation Process for recess-free planarization of shallow trench isolation
US6080637A (en) 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6548261B1 (en) 1998-12-30 2003-04-15 Case Western Reserve University Alzheimer model for drug screening
US6037238A (en) 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
US6271143B1 (en) 1999-05-06 2001-08-07 Motorola, Inc. Method for preventing trench fill erosion
TW413887B (en) 1999-06-09 2000-12-01 Mosel Vitelic Inc Method for forming trench-type power metal oxide semiconductor field effect transistor
US6207531B1 (en) 1999-07-02 2001-03-27 Promos Technologies, Inc. Shallow trench isolation using UV/O3 passivation prior to trench fill
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6150212A (en) 1999-07-22 2000-11-21 International Business Machines Corporation Shallow trench isolation method utilizing combination of spacer and fill
US6426278B1 (en) 1999-10-07 2002-07-30 International Business Machines Corporation Projection gas immersion laser dopant process (PGILD) fabrication of diffusion halos
US6399512B1 (en) 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6365446B1 (en) 2000-07-03 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6943078B1 (en) 2000-08-31 2005-09-13 Micron Technology, Inc. Method and structure for reducing leakage current in capacitors
US6391731B1 (en) 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6456370B1 (en) 2001-03-29 2002-09-24 Fitel Usa Corp. Method of measuring bending loss with an optical time domain reflectometer
US6498383B2 (en) 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
EP1397832A2 (de) 2001-06-08 2004-03-17 Amberwave Systems Corporation Isolationsverfahren für halbleiterbauelemente
US6548399B1 (en) 2001-11-20 2003-04-15 Intel Corporation Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
US6656749B1 (en) 2001-12-13 2003-12-02 Advanced Micro Devices, Inc. In-situ monitoring during laser thermal annealing
US6566228B1 (en) 2002-02-26 2003-05-20 International Business Machines Corporation Trench isolation processes using polysilicon-assisted fill
US6613646B1 (en) 2002-03-25 2003-09-02 Advanced Micro Devices, Inc. Methods for reduced trench isolation step height
US6548361B1 (en) 2002-05-15 2003-04-15 Advanced Micro Devices, Inc. SOI MOSFET and method of fabrication
JP4750342B2 (ja) * 2002-07-03 2011-08-17 ルネサスエレクトロニクス株式会社 Mos−fetおよびその製造方法、並びに半導体装置
JP4368095B2 (ja) 2002-08-21 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
JP2004088015A (ja) * 2002-08-29 2004-03-18 Nec Corp 半導体装置およびその製造方法。
US6759702B2 (en) 2002-09-30 2004-07-06 International Business Machines Corporation Memory cell with vertical transistor and trench capacitor with reduced burried strap
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6888214B2 (en) 2002-11-12 2005-05-03 Micron Technology, Inc. Isolation techniques for reducing dark current in CMOS image sensors
US6730576B1 (en) 2002-12-31 2004-05-04 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
US6878611B2 (en) 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US6962857B1 (en) 2003-02-05 2005-11-08 Advanced Micro Devices, Inc. Shallow trench isolation process using oxide deposition and anneal
US7648886B2 (en) * 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US7422961B2 (en) 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6903384B2 (en) 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US6825086B2 (en) 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003094208A2 (en) * 2002-04-29 2003-11-13 University Of Newcastle Upon Tyne Method of isolating adjacent components of a semiconductor device
US6673696B1 (en) * 2003-01-14 2004-01-06 Advanced Micro Devices, Inc. Post trench fill oxidation process for strained silicon processes

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
TAKAGI,S. (u.a.): "Device structure and electrical characteristics of strained-Si-on-insulator (strained-SOI) MOSFETs" MATERIALS SCIENCE AND ENGINEERING B, ELSEVIER SEQUOIA, LAUSANE, CH, vol. 89, no. 1-3, 14 Febr. 2002, S. 1-9 *
TAKAGI,S. (u.a.): "Strained SOI technology for high-performance, low-power CMOS applications" In: SOLID-STATE CIRCUITS CONFERENCE, 2003. DIGEST OF TECHNICAL PAPERS. ISSCC. 2003 IEEE INTERNATIONAL SAN FRANCISCO, CA, USA 9-13 FEB. 2003, PISCATAWAY, NJ, USA, IEEE, US, S. 1-9 *

Also Published As

Publication number Publication date
JP2007518273A (ja) 2007-07-05
KR101183271B1 (ko) 2012-09-14
CN100477153C (zh) 2009-04-08
GB0615267D0 (en) 2006-09-06
US20050151222A1 (en) 2005-07-14
US20080213952A1 (en) 2008-09-04
US7462549B2 (en) 2008-12-09
TWI361459B (en) 2012-04-01
WO2005071738A2 (en) 2005-08-04
CN1902748A (zh) 2007-01-24
GB2425889B (en) 2007-12-12
KR20070011262A (ko) 2007-01-24
DE112004002634T5 (de) 2006-12-28
WO2005071738A3 (en) 2005-12-08
TW200529318A (en) 2005-09-01
US7732336B2 (en) 2010-06-08
GB2425889A (en) 2006-11-08

Similar Documents

Publication Publication Date Title
DE112005000704B4 (de) Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung
DE102013112389B4 (de) Passivierung und Facettierung für FIN-Feldeffekttransistor
DE112004002641B4 (de) Verfahren zur Herstellung eines verformten FinFET-Kanals
DE112004000146B4 (de) Verfahren zur Herstellung eines MOSFET-Bauelements mit zugspannungsverformtem Substrat
DE112005003123B4 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements mit mehreren gestapelten Schichten mit Hybridorientierung
DE112011100326B4 (de) P-FET mit einem verspannten Nanodraht-Kanal und eingebetteten SiGe-Source- und Drain-Stressoren und Verfahren
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE3784958T2 (de) Seitenwanddistanzschichten zur Spannungsaufnahme und Isolierung von CMOS Schaltungen und Herstellungsverfahren.
DE112007003116B4 (de) Verfahren zur Herstellung eines verspannten Transistors und Transistor
DE112004002373T5 (de) Strained-Transistor-Integration für CMOS
DE112017005474T5 (de) Vertikal-transport-fet-einheiten unter verwendung einer selektiven epitaxie bei niedriger temperatur
DE112018000689T5 (de) Dual-kanal-cmos mit gemeinsamen gate-stapeln
DE102017117809B3 (de) Ausbilden eines transistors durch selektives aufwachsen eines gatespacers
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019121302A1 (de) Siliziumoxidschicht für eine oxidationsbeständigkeit und ihre herstellungsverfahren
DE102019204737B4 (de) Hybrid-Gate-Schnitt
DE102007007071B4 (de) Halbleiteranordnung mit einem grabenförmigen Isolationsgebiet und Verfahren zu deren Herstellung
DE112004002634B4 (de) Integrierte Schaltung, Verfahren zur Herstellung einer integrierten Schaltung und Verfahren zur Herstellung flacher Grabenisolationsstrukturen
DE102019121169B4 (de) Spaltfüllung mit einer kohlenstoff- und stickstoff-dotierten schicht
DE102008044983B4 (de) Verfahren zum Herstellen eines strukturierten verformten Substrats, insbesondere zur Herstellung verformter Transistoren mit geringerer Dicke der aktiven Schicht
WO2001006542A2 (de) Verfahren zur herstellung eines vertikal-halbleitertransistorbauelements und vertikal-halbleitertransistorbauelement
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102018206851A1 (de) Halbleiterbauteil mit Grabenisolation
DE102004057764A1 (de) Technik zur Herstellung eines Substrats mit kristallinen Halbleitergebieten mit unterschiedlichen Eigenschaften, die über einem kristallinen Vollsubstrat angeordnet sind

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law

Ref document number: 112004002634

Country of ref document: DE

Date of ref document: 20061228

Kind code of ref document: P

8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE